OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [bplib/] [nxcramlib/] [tb/] [tb_nx_cram_memctl_as.vhd] - Blame information for rev 16

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 wfjm
-- $Id: tb_nx_cram_memctl_as.vhd 433 2011-11-27 22:04:39Z mueller $
2
--
3
-- Copyright 2010-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    tb_nx_cram_memctl_as
16
-- Description:    Configuration tb_nx_cram_memctl_as for tb_nx_cram_memctl
17
--
18
-- Dependencies:   tbd_nx_cram_memctl_as
19
-- To test:        nx_cram_memctl_as
20
--
21
-- Verified (with tb_nx_cram_memctl_stim.dat):
22
-- Date         Rev  Code  ghdl  ise          Target     Comment
23
-- 2010-05-30   297  -     0.26  11.4   L68   xc3s1200e  ok
24
-- 
25
-- Revision History: 
26
-- Date         Rev Version  Comment
27
-- 2011-11-26   433   1.1    renamed from tb_n2_cram_memctl_as
28
-- 2010-05-30   297   1.0    Initial version 
29
------------------------------------------------------------------------------
30
 
31
configuration tb_nx_cram_memctl_as of tb_nx_cram_memctl is
32
 
33
  for sim
34
    for all :tbd_nx_cram_memctl
35
      use entity work.tbd_nx_cram_memctl_as;
36
    end for;
37
  end for;
38
 
39
end tb_nx_cram_memctl_as;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.