OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [ibus/] [ibdr_maxisys.vhd] - Blame information for rev 8

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 wfjm
-- $Id: ibdr_maxisys.vhd 335 2010-10-24 22:24:23Z mueller $
2 2 wfjm
--
3
-- Copyright 2009-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    ibdr_maxisys - syn
16
-- Description:    ibus(rem) devices for full system
17
--
18
-- Dependencies:   ibd_iist
19
--                 ibd_kw11l
20
--                 ibdr_rk11
21
--                 ibdr_dl11
22
--                 ibdr_pc11
23
--                 ibdr_lp11
24
--                 ibdr_sdreg
25
--                 ib_sres_or_4
26
--                 ib_sres_or_3
27
--                 ib_intmap
28
-- Test bench:     -
29
-- Target Devices: generic
30 8 wfjm
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2, 12.1; ghdl 0.18-0.29
31
--
32
-- Synthesized (xst):
33
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
34
-- 2010-10-17   333  12.1    M53 xc3s1000-4   312 1058   16  617 s 10.3
35
-- 2010-10-17   314  12.1    M53 xc3s1000-4   300 1094   16  626 s 10.4
36
--
37 2 wfjm
-- Revision History: 
38
-- Date         Rev Version  Comment
39 8 wfjm
-- 2010-10-23   335   1.1.1  rename RRI_LAM->RB_LAM;
40 2 wfjm
-- 2010-06-11   303   1.1    use IB_MREQ.racc instead of RRI_REQ
41
-- 2009-07-12   233   1.0.4  reorder ports; add RESET, CE_USEC to _dl11
42
-- 2009-06-20   227   1.0.3  rename generate labels.
43
-- 2009-06-07   224   1.0.2  add iist_mreq and iist_sres interfaces
44
-- 2009-06-01   221   1.0.1  add CE_USEC; add RESET to kw11l; add _pc11, _iist
45
-- 2009-05-24   219   1.0    Initial version
46
------------------------------------------------------------------------------
47
-- 
48
-- 
49
-- full system setup
50
--
51
-- ibbase  vec  pri  slot attn  sror device name
52
-- 
53
-- 172540  104   ?7 14 17    -  1/1  KW11-P
54
-- 177500  260    6 13 16    -  1/2  IIST
55
-- 177546  100    6 12 15    -  1/3  KW11-L
56
-- 174510  120    5    14    9  1/4  DEUNA
57
-- 176700  254    5    13    6  2/1  RH70/RP06
58
-- 174400  160    5 11 12    5  2/2  RL11
59
-- 177400  220    5 10 11    4  2/3  RK11
60
-- 172520  224    5    10    7  2/4  TM11
61
-- 160100  310?   5  9  9    3  3/1  DZ11-RX
62
--         314?   5  8  8    ^       DZ11-TX
63
-- 177560  060    4  7  7    1  3/2  DL11-RX  1st
64
--         064    4  6  6    ^       DL11-TX  1st
65
-- 176500  300    4  5  5    2  3/3  DL11-RX  2nd
66
--         304    4  4  4    ^       DL11-TX  2nd
67
-- 177550  070    4  3  3   10  4/1  PC11/PTR
68
--         074    4  2  2    ^       PC11/PTP
69
-- 177514  200    4  1  1    8  4/2  LP11
70
-- 177570    -    -     -    -  4/3  sdreg
71
-- 
72
 
73
library ieee;
74
use ieee.std_logic_1164.all;
75
use ieee.std_logic_arith.all;
76
 
77
use work.slvtypes.all;
78
use work.iblib.all;
79
use work.ibdlib.all;
80
 
81
-- ----------------------------------------------------------------------------
82
entity ibdr_maxisys is                  -- ibus(rem) full system
83
  port (
84
    CLK : in slbit;                     -- clock
85
    CE_USEC : in slbit;                 -- usec pulse
86
    CE_MSEC : in slbit;                 -- msec pulse
87
    RESET : in slbit;                   -- reset
88
    BRESET : in slbit;                  -- ibus reset
89 8 wfjm
    RB_LAM : out slv16_1;               -- remote attention vector
90 2 wfjm
    IB_MREQ : in ib_mreq_type;          -- ibus request
91
    IB_SRES : out ib_sres_type;         -- ibus response
92
    EI_ACKM : in slbit;                 -- interrupt acknowledge (from master)
93
    EI_PRI : out slv3;                  -- interrupt priority (to cpu)
94
    EI_VECT : out slv9_2;               -- interrupt vector   (to cpu)
95
    DISPREG : out slv16                 -- display register
96
  );
97
end ibdr_maxisys;
98
 
99
architecture syn of ibdr_maxisys is
100
 
101
  constant conf_intmap : intmap_array_type :=
102
    (intmap_init,                       -- line 15
103
     (8#104#,6),                        -- line 14  KW11-P
104
     (8#260#,6),                        -- line 13  IIST
105
     (8#100#,6),                        -- line 12  KW11-L
106
     (8#160#,5),                        -- line 11  RL11
107
     (8#220#,5),                        -- line 10  RK11
108
     (8#310#,5),                        -- line  9  DZ11-RX
109
     (8#314#,5),                        -- line  8  DZ11-TX
110
     (8#060#,4),                        -- line  7  DL11-RX 1st
111
     (8#064#,4),                        -- line  6  DL11-TX 1st
112
     (8#300#,4),                        -- line  5  DL11-RX 2nd
113
     (8#304#,4),                        -- line  4  DL11-TX 2nd
114
     (8#070#,4),                        -- line  3  PC11-PTR
115
     (8#074#,4),                        -- line  2  PC11-PTP
116
     (8#200#,4),                        -- line  1  LP11
117
     intmap_init                        -- line  0
118
     );
119
 
120 8 wfjm
  signal RB_LAM_DENUA  : slbit := '0';
121
  signal RB_LAM_RP06   : slbit := '0';
122
  signal RB_LAM_RL11   : slbit := '0';
123
  signal RB_LAM_RK11   : slbit := '0';
124
  signal RB_LAM_TM11   : slbit := '0';
125
  signal RB_LAM_DZ11   : slbit := '0';
126
  signal RB_LAM_DL11_0 : slbit := '0';
127
  signal RB_LAM_DL11_1 : slbit := '0';
128
  signal RB_LAM_PC11   : slbit := '0';
129
  signal RB_LAM_LP11   : slbit := '0';
130 2 wfjm
 
131
  signal IB_SRES_IIST   : ib_sres_type := ib_sres_init;
132
  signal IB_SRES_KW11P  : ib_sres_type := ib_sres_init;
133
  signal IB_SRES_KW11L  : ib_sres_type := ib_sres_init;
134
  signal IB_SRES_DEUNA  : ib_sres_type := ib_sres_init;
135
  signal IB_SRES_RP06   : ib_sres_type := ib_sres_init;
136
  signal IB_SRES_RL11   : ib_sres_type := ib_sres_init;
137
  signal IB_SRES_RK11   : ib_sres_type := ib_sres_init;
138
  signal IB_SRES_TM11   : ib_sres_type := ib_sres_init;
139
  signal IB_SRES_DZ11   : ib_sres_type := ib_sres_init;
140
  signal IB_SRES_DL11_0 : ib_sres_type := ib_sres_init;
141
  signal IB_SRES_DL11_1 : ib_sres_type := ib_sres_init;
142
  signal IB_SRES_PC11   : ib_sres_type := ib_sres_init;
143
  signal IB_SRES_LP11   : ib_sres_type := ib_sres_init;
144
  signal IB_SRES_SDREG  : ib_sres_type := ib_sres_init;
145
 
146
  signal IB_SRES_1      : ib_sres_type := ib_sres_init;
147
  signal IB_SRES_2      : ib_sres_type := ib_sres_init;
148
  signal IB_SRES_3      : ib_sres_type := ib_sres_init;
149
  signal IB_SRES_4      : ib_sres_type := ib_sres_init;
150
 
151
  signal EI_REQ  : slv16_1 := (others=>'0');
152
  signal EI_ACK  : slv16_1 := (others=>'0');
153
 
154
  signal EI_REQ_IIST     : slbit := '0';
155
  signal EI_REQ_KW11P    : slbit := '0';
156
  signal EI_REQ_KW11L    : slbit := '0';
157
  signal EI_REQ_DEUNA    : slbit := '0';
158
  signal EI_REQ_RP06     : slbit := '0';
159
  signal EI_REQ_RL11     : slbit := '0';
160
  signal EI_REQ_RK11     : slbit := '0';
161
  signal EI_REQ_TM11     : slbit := '0';
162
  signal EI_REQ_DZ11RX   : slbit := '0';
163
  signal EI_REQ_DZ11TX   : slbit := '0';
164
  signal EI_REQ_DL11RX_0 : slbit := '0';
165
  signal EI_REQ_DL11TX_0 : slbit := '0';
166
  signal EI_REQ_DL11RX_1 : slbit := '0';
167
  signal EI_REQ_DL11TX_1 : slbit := '0';
168
  signal EI_REQ_PC11PTR  : slbit := '0';
169
  signal EI_REQ_PC11PTP  : slbit := '0';
170
  signal EI_REQ_LP11     : slbit := '0';
171
 
172
  signal EI_ACK_IIST     : slbit := '0';
173
  signal EI_ACK_KW11P    : slbit := '0';
174
  signal EI_ACK_KW11L    : slbit := '0';
175
  signal EI_ACK_DEUNA    : slbit := '0';
176
  signal EI_ACK_RP06     : slbit := '0';
177
  signal EI_ACK_RL11     : slbit := '0';
178
  signal EI_ACK_RK11     : slbit := '0';
179
  signal EI_ACK_TM11     : slbit := '0';
180
  signal EI_ACK_DZ11RX   : slbit := '0';
181
  signal EI_ACK_DZ11TX   : slbit := '0';
182
  signal EI_ACK_DL11RX_0 : slbit := '0';
183
  signal EI_ACK_DL11TX_0 : slbit := '0';
184
  signal EI_ACK_DL11RX_1 : slbit := '0';
185
  signal EI_ACK_DL11TX_1 : slbit := '0';
186
  signal EI_ACK_PC11PTR  : slbit := '0';
187
  signal EI_ACK_PC11PTP  : slbit := '0';
188
  signal EI_ACK_LP11     : slbit := '0';
189
 
190
  signal IIST_BUS        : iist_bus_type := iist_bus_init;
191
  signal IIST_OUT_0      : iist_line_type := iist_line_init;
192
  signal IIST_MREQ       : iist_mreq_type := iist_mreq_init;
193
  signal IIST_SRES       : iist_sres_type := iist_sres_init;
194
 
195
begin
196
 
197
  IIST: if true generate
198
  begin
199
    I0 : ibd_iist
200
      port map (
201
        CLK       => CLK,
202
        CE_USEC   => CE_USEC,
203
        RESET     => RESET,
204
        BRESET    => BRESET,
205
        IB_MREQ   => IB_MREQ,
206
        IB_SRES   => IB_SRES_IIST,
207
        EI_REQ    => EI_REQ_IIST,
208
        EI_ACK    => EI_ACK_IIST,
209
        IIST_BUS  => IIST_BUS,
210
        IIST_OUT  => IIST_OUT_0,
211
        IIST_MREQ => IIST_MREQ,
212
        IIST_SRES => IIST_SRES
213
      );
214
 
215
    IIST_BUS(0) <= IIST_OUT_0;
216
    IIST_BUS(1) <= iist_line_init;
217
    IIST_BUS(2) <= iist_line_init;
218
    IIST_BUS(3) <= iist_line_init;
219
 
220
  end generate IIST;
221
 
222
  KW11L : ibd_kw11l
223
    port map (
224
      CLK     => CLK,
225
      CE_MSEC => CE_MSEC,
226
      RESET   => RESET,
227
      BRESET  => BRESET,
228
      IB_MREQ => IB_MREQ,
229
      IB_SRES => IB_SRES_KW11L,
230
      EI_REQ  => EI_REQ_KW11L,
231
      EI_ACK  => EI_ACK_KW11L
232
    );
233
 
234
  RK11: if true generate
235
  begin
236
    I0 : ibdr_rk11
237
      port map (
238
        CLK     => CLK,
239
        CE_MSEC => CE_MSEC,
240
        BRESET  => BRESET,
241 8 wfjm
        RB_LAM  => RB_LAM_RK11,
242 2 wfjm
        IB_MREQ => IB_MREQ,
243
        IB_SRES => IB_SRES_RK11,
244
        EI_REQ  => EI_REQ_RK11,
245
        EI_ACK  => EI_ACK_RK11
246
      );
247
  end generate RK11;
248
 
249
  DL11_0 : ibdr_dl11
250
    port map (
251
      CLK       => CLK,
252
      CE_USEC   => CE_USEC,
253
      RESET     => RESET,
254
      BRESET    => BRESET,
255 8 wfjm
      RB_LAM    => RB_LAM_DL11_0,
256 2 wfjm
      IB_MREQ   => IB_MREQ,
257
      IB_SRES   => IB_SRES_DL11_0,
258
      EI_REQ_RX => EI_REQ_DL11RX_0,
259
      EI_REQ_TX => EI_REQ_DL11TX_0,
260
      EI_ACK_RX => EI_ACK_DL11RX_0,
261
      EI_ACK_TX => EI_ACK_DL11TX_0
262
    );
263
 
264
  DL11_1: if true generate
265
  begin
266
    I0 : ibdr_dl11
267
      generic map (
268
        IB_ADDR   => conv_std_logic_vector(8#176500#,16))
269
      port map (
270
        CLK       => CLK,
271
        CE_USEC   => CE_USEC,
272
        RESET     => RESET,
273
        BRESET    => BRESET,
274 8 wfjm
        RB_LAM    => RB_LAM_DL11_1,
275 2 wfjm
        IB_MREQ   => IB_MREQ,
276
        IB_SRES   => IB_SRES_DL11_1,
277
        EI_REQ_RX => EI_REQ_DL11RX_1,
278
        EI_REQ_TX => EI_REQ_DL11TX_1,
279
        EI_ACK_RX => EI_ACK_DL11RX_1,
280
        EI_ACK_TX => EI_ACK_DL11TX_1
281
      );
282
  end generate DL11_1;
283
 
284
  PC11: if true generate
285
  begin
286
    I0 : ibdr_pc11
287
      port map (
288
        CLK        => CLK,
289
        RESET      => RESET,
290
        BRESET     => BRESET,
291 8 wfjm
        RB_LAM     => RB_LAM_PC11,
292 2 wfjm
        IB_MREQ    => IB_MREQ,
293
        IB_SRES    => IB_SRES_PC11,
294
        EI_REQ_PTR => EI_REQ_PC11PTR,
295
        EI_REQ_PTP => EI_REQ_PC11PTP,
296
        EI_ACK_PTR => EI_ACK_PC11PTR,
297
        EI_ACK_PTP => EI_ACK_PC11PTP
298
      );
299
  end generate PC11;
300
 
301
  LP11: if true generate
302
  begin
303
    I0 : ibdr_lp11
304
      port map (
305
        CLK     => CLK,
306
        RESET   => RESET,
307
        BRESET  => BRESET,
308 8 wfjm
        RB_LAM  => RB_LAM_LP11,
309 2 wfjm
        IB_MREQ => IB_MREQ,
310
        IB_SRES => IB_SRES_LP11,
311
        EI_REQ  => EI_REQ_LP11,
312
        EI_ACK  => EI_ACK_LP11
313
      );
314
  end generate LP11;
315
 
316
  SDREG : ibdr_sdreg
317
    port map (
318
      CLK     => CLK,
319
      RESET   => RESET,
320
      IB_MREQ => IB_MREQ,
321
      IB_SRES => IB_SRES_SDREG,
322
      DISPREG => DISPREG
323
    );
324
 
325
  SRES_OR_1 : ib_sres_or_4
326
    port map (
327
      IB_SRES_1  => IB_SRES_KW11P,
328
      IB_SRES_2  => IB_SRES_IIST,
329
      IB_SRES_3  => IB_SRES_KW11L,
330
      IB_SRES_4  => IB_SRES_DEUNA,
331
      IB_SRES_OR => IB_SRES_1
332
    );
333
 
334
  SRES_OR_2 : ib_sres_or_4
335
    port map (
336
      IB_SRES_1  => IB_SRES_RP06,
337
      IB_SRES_2  => IB_SRES_RL11,
338
      IB_SRES_3  => IB_SRES_RK11,
339
      IB_SRES_4  => IB_SRES_TM11,
340
      IB_SRES_OR => IB_SRES_2
341
    );
342
 
343
  SRES_OR_3 : ib_sres_or_3
344
    port map (
345
      IB_SRES_1  => IB_SRES_DZ11,
346
      IB_SRES_2  => IB_SRES_DL11_0,
347
      IB_SRES_3  => IB_SRES_DL11_1,
348
      IB_SRES_OR => IB_SRES_3
349
    );
350
 
351
  SRES_OR_4 : ib_sres_or_3
352
    port map (
353
      IB_SRES_1  => IB_SRES_PC11,
354
      IB_SRES_2  => IB_SRES_LP11,
355
      IB_SRES_3  => IB_SRES_SDREG,
356
      IB_SRES_OR => IB_SRES_4
357
    );
358
 
359
  SRES_OR : ib_sres_or_4
360
    port map (
361
      IB_SRES_1  => IB_SRES_1,
362
      IB_SRES_2  => IB_SRES_2,
363
      IB_SRES_3  => IB_SRES_3,
364
      IB_SRES_4  => IB_SRES_4,
365
      IB_SRES_OR => IB_SRES
366
    );
367
 
368
  INTMAP : ib_intmap
369
    generic map (
370
      INTMAP => conf_intmap)
371
    port map (
372
      EI_REQ  => EI_REQ,
373
      EI_ACKM => EI_ACKM,
374
      EI_ACK  => EI_ACK,
375
      EI_PRI  => EI_PRI,
376
      EI_VECT => EI_VECT
377
    );
378
 
379
  EI_REQ(14) <= EI_REQ_KW11P;
380
  EI_REQ(13) <= EI_REQ_IIST;
381
  EI_REQ(12) <= EI_REQ_KW11L;
382
  EI_REQ(11) <= EI_REQ_RL11;
383
  EI_REQ(10) <= EI_REQ_RK11;
384
  EI_REQ( 9) <= EI_REQ_DZ11RX;
385
  EI_REQ( 8) <= EI_REQ_DZ11TX;
386
  EI_REQ( 7) <= EI_REQ_DL11RX_0;
387
  EI_REQ( 6) <= EI_REQ_DL11TX_0;
388
  EI_REQ( 5) <= EI_REQ_DL11RX_1;
389
  EI_REQ( 4) <= EI_REQ_DL11TX_1;
390
  EI_REQ( 3) <= EI_REQ_PC11PTR;
391
  EI_REQ( 2) <= EI_REQ_PC11PTP;
392
  EI_REQ( 1) <= EI_REQ_LP11;
393
 
394
  EI_ACK_KW11P    <= EI_ACK(14);
395
  EI_ACK_IIST     <= EI_ACK(13);
396
  EI_ACK_KW11L    <= EI_ACK(12);
397
  EI_ACK_RL11     <= EI_ACK(11);
398
  EI_ACK_RK11     <= EI_ACK(10);
399
  EI_ACK_DZ11RX   <= EI_ACK( 9);
400
  EI_ACK_DZ11TX   <= EI_ACK( 8);
401
  EI_ACK_DL11RX_0 <= EI_ACK( 7);
402
  EI_ACK_DL11TX_0 <= EI_ACK( 6);
403
  EI_ACK_DL11RX_1 <= EI_ACK( 5);
404
  EI_ACK_DL11TX_1 <= EI_ACK( 4);
405
  EI_ACK_PC11PTR  <= EI_ACK( 3);
406
  EI_ACK_PC11PTP  <= EI_ACK( 2);
407
  EI_ACK_LP11     <= EI_ACK( 1);
408
 
409 8 wfjm
  RB_LAM(15 downto 11) <= (others=>'0');
410
  RB_LAM(10) <= RB_LAM_PC11;
411
  RB_LAM( 9) <= RB_LAM_DENUA;
412
  RB_LAM( 8) <= RB_LAM_LP11;
413
  RB_LAM( 7) <= RB_LAM_TM11;
414
  RB_LAM( 6) <= RB_LAM_RP06;
415
  RB_LAM( 5) <= RB_LAM_RL11;
416
  RB_LAM( 4) <= RB_LAM_RK11;
417
  RB_LAM( 3) <= RB_LAM_DZ11;
418
  RB_LAM( 2) <= RB_LAM_DL11_1;
419
  RB_LAM( 1) <= RB_LAM_DL11_0;
420 2 wfjm
 
421
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.