OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [ibus/] [ibdr_rl11.vhd] - Blame information for rev 29

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 29 wfjm
-- $Id: ibdr_rl11.vhd 655 2015-03-04 20:35:21Z mueller $
2
--
3
-- Copyright 2014-2015 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    ibdr_rl11 - syn
16
-- Description:    ibus dev(rem): RL11
17
--
18
-- Dependencies:   ram_1swar_gen
19
-- Test bench:     -
20
-- Target Devices: generic
21
-- Tool versions:  ise 14.7; viv 2014.4; ghdl 0.31
22
--
23
-- Synthesized (xst):
24
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
25
-- 2015-02-28   653 14.7  131013 xc6slx16-2    80  197   12   80 s  7.9
26
-- 2014-06-15   562 14.7  131013 xc6slx16-2    81  199   13   78 s  8.0
27
--
28
-- Revision History: 
29
-- Date         Rev Version  Comment
30
-- 2015-03-04   655   1.0.1  seek: ignore da(6:5), don't check for 0 anymore
31
-- 2015-02-28   653   1.0    Initial verison
32
-- 2014-06-09   561   0.1    First draft
33
------------------------------------------------------------------------------
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
 
39
use work.slvtypes.all;
40
use work.memlib.all;
41
use work.iblib.all;
42
 
43
-- ----------------------------------------------------------------------------
44
entity ibdr_rl11 is                     -- ibus dev(rem): RL11
45
                                        -- fixed address: 174400
46
  port (
47
    CLK : in slbit;                     -- clock
48
    CE_MSEC : in slbit;                 -- msec pulse
49
    BRESET : in slbit;                  -- ibus reset
50
    RB_LAM : out slbit;                 -- remote attention
51
    IB_MREQ : in ib_mreq_type;          -- ibus request
52
    IB_SRES : out ib_sres_type;         -- ibus response
53
    EI_REQ : out slbit;                 -- interrupt request
54
    EI_ACK : in slbit                   -- interrupt acknowledge
55
  );
56
end ibdr_rl11;
57
 
58
architecture syn of ibdr_rl11 is
59
 
60
  constant ibaddr_rl11 : slv16 := slv(to_unsigned(8#174400#,16));
61
 
62
  constant ibaddr_rlcs : slv2 := "00";  -- rlcs address offset
63
  constant ibaddr_rlba : slv2 := "01";  -- rlba address offset
64
  constant ibaddr_rlda : slv2 := "10";  -- rlda address offset
65
  constant ibaddr_rlmp : slv2 := "11";  -- rlmp address offset
66
 
67
  -- usage of 16x16 memory bank
68
  --      0  0000   unused (but mirrors rlcs)
69
  --      1  0001   rlba
70
  --      2  0010   unused (but mirrors rlda)
71
  --      3  0011   rlmp (1st value)
72
  --      4  0100   rlmp (3rd value after gs; the crc)
73
  --      5  0101   unused
74
  --      6  0110   unused
75
  --      7  0111   unused (target for bad mprem states)
76
  --  11: 8  10--   sta(ds)  (drive status)
77
  --  15:12  11--   pos(ds)  (drive disk address)
78
  constant imem_cs  : slv4 := "0000";   -- unused
79
  constant imem_ba  : slv4 := "0001";
80
  constant imem_da  : slv4 := "0010";   -- unused
81
  constant imem_mp  : slv4 := "0011";
82
  constant imem_crc : slv4 := "0100";
83
  constant imem_bad : slv4 := "0111";   -- target for bad mprem states
84
  constant imem_sta : slv4 := "1000";
85
  constant imem_pos : slv4 := "1100";
86
 
87
  subtype  imf_typ  is integer range 3 downto 2;
88
  subtype  imf_ds   is integer range 1 downto 0;
89
 
90
  constant rlcs_ibf_err   : integer := 15;
91
  constant rlcs_ibf_de    : integer := 14;
92
  subtype  rlcs_ibf_e       is integer range 13 downto 10;
93
  subtype  rlcs_ibf_ds      is integer range  9 downto  8;
94
  constant rlcs_ibf_crdy  : integer :=  7;
95
  constant rlcs_ibf_ie    : integer :=  6;
96
  subtype  rlcs_ibf_bae     is integer range  5 downto  4;
97
  subtype  rlcs_ibf_func    is integer range  3 downto  1;
98
  constant rlcs_ibf_drdy  : integer :=  0;
99
 
100
  constant func_noop  : slv3 := "000";   -- func: noop
101
  constant func_wchk  : slv3 := "001";   -- func: write check
102
  constant func_gs    : slv3 := "010";   -- func: get status
103
  constant func_seek  : slv3 := "011";   -- func: seek
104
  constant func_rhdr  : slv3 := "100";   -- func: read header
105
  constant func_write : slv3 := "101";   -- func: write data
106
  constant func_read  : slv3 := "110";   -- func: read data
107
  constant func_rnhc  : slv3 := "111";   -- func: read data without header check
108
 
109
  constant e_ok     : slv4 := "0000";   -- e code: ok
110
  constant e_incomp : slv4 := "0001";   -- e code: operation incomplete
111
 
112
  -- defs for rem access of rlcs; func codes
113
  constant rfunc_wcs     : slv3 := "001";  -- rem func: write cs (err,de,e,drdy)
114
  constant rfunc_wmp     : slv3 := "010";  -- rem func: write mprem or mploc
115
 
116
  -- rlcs usage or rem func=wmp
117
  subtype  rlcs_ibf_mprem   is integer range 15 downto 11;
118
  subtype  rlcs_ibf_mploc   is integer range 10 downto  8;
119
  constant rlcs_ibf_ena_mprem : integer := 5;
120
  constant rlcs_ibf_ena_mploc : integer := 4;
121
 
122
  subtype  rlda_ibf_seek_df    is integer range 15 downto  7;
123
  constant rlda_ibf_seek_hs  : integer :=  4;
124
  constant rlda_ibf_seek_dir : integer :=  2;
125
  constant rlda_msk_seek : slv16 := "0000000000001011";
126
  constant rlda_val_seek : slv16 := "0000000000000001";
127
 
128
  constant rlda_ibf_gs_rst   : integer :=  3;
129
  constant rlda_msk_gs : slv16 := "0000000011110111";
130
  constant rlda_val_gs : slv16 := "0000000000000011";
131
 
132
  constant sta_ibf_wde   : integer := 15;     -- Write data error   - always 0
133
  constant sta_ibf_che   : integer := 14;     -- Current head error - always 0
134
  constant sta_ibf_wl    : integer := 13;     -- Write lock         -    used 
135
  constant sta_ibf_sto   : integer := 12;     -- Seek time out      -    used
136
  constant sta_ibf_spe   : integer := 11;     -- Spin error         -    used
137
  constant sta_ibf_wge   : integer := 10;     -- Write gate error   -    used
138
  constant sta_ibf_vce   : integer :=  9;     -- Volume check       -    used
139
  constant sta_ibf_dse   : integer :=  8;     -- Drive select error -    used
140
  constant sta_ibf_dt    : integer :=  7;     -- Drive type         -    used
141
  constant sta_ibf_hs    : integer :=  6;     -- Head select        -    used
142
  constant sta_ibf_co    : integer :=  5;     -- Cover open         -    used
143
  constant sta_ibf_ho    : integer :=  4;     -- Heads out          -    used
144
  constant sta_ibf_bh    : integer :=  3;     -- Brush home         - always 1
145
  subtype  sta_ibf_st      is integer range  2 downto  0;  -- Drive state
146
 
147
  constant st_load  : slv3 := "000";    -- st: Load(ing) cartidge -    used
148
  constant st_spin  : slv3 := "001";    -- st: Spin(ing) up       - !unused!
149
  constant st_brush : slv3 := "010";    -- st: Brush(ing) cycle   - !unused!
150
  constant st_hload : slv3 := "011";    -- st: Load(ing) heads    - !unused!
151
  constant st_seek  : slv3 := "100";    -- st: Seek(ing)          - may be used
152
  constant st_lock  : slv3 := "101";    -- st: Lock(ed) on        -    used
153
  constant st_unl   : slv3 := "110";    -- st: Unload(ing) heads  - !unused!
154
  constant st_down  : slv3 := "111";    -- st: Spin(ing) down     - !unused!
155
  -- only two mayor drive states are used
156
  --   on: st=lock; ho=1; co=0;    (   file connected in backend)
157
  --  off: st=load; ho=0; co=1;    (no file connected in backend)
158
 
159
  subtype  pos_ibf_ca      is integer range 15 downto  7;
160
  constant pos_ibf_hs    : integer :=  6;
161
  subtype  pos_ibf_sa      is integer range  5 downto  0;
162
 
163
  constant mploc_mp   : slv3 := "000";  -- return imem(mp)
164
  constant mploc_sta  : slv3 := "001";  -- return sta(ds)
165
  constant mploc_pos  : slv3 := "010";  -- return pos(ds)
166
  constant mploc_zero : slv3 := "011";  -- return 0
167
  constant mploc_crc  : slv3 := "100";  -- return imem(crc)
168
 
169
  constant mprem_f_map  : integer := 4;    -- mprem map enable
170
  subtype  mprem_f_addr   is integer range 3 downto 0;
171
  constant mprem_f_seq  : integer := 3;    -- mprem seq enable
172
  subtype  mprem_f_state  is integer range 2 downto 0;
173
  constant mprem_mapseq : slv2 := "11";    -- enable map + seq
174
  constant mprem_s_mp   : slv3 := "000";   -- access imem(mp)
175
  constant mprem_s_sta  : slv3 := "001";   -- access sta(ds)
176
  constant mprem_s_pos  : slv3 := "010";   -- access pos(ds)
177
  constant mprem_init   : slv5 := "10000"; -- enable map,fix, show mp
178
 
179
  constant ca_max_rl01 : slv9 := "011111111"; -- max cylinder for RL01 (255)
180
  constant ca_max_rl02 : slv9 := "111111111"; -- max cylinder for RL02 (511)
181
 
182
  type state_type is (
183
    s_idle,                             -- idle: handle ibus
184
    s_csread,                           -- csread: handle cs read
185
    s_gs_rpos,                          -- gs_rpos: read pos(ds)
186
    s_gs_sta,                           -- gs_sta: handle status
187
    s_seek_rsta,                        -- seek_rsta: read sta(ds)
188
    s_seek_rpos,                        -- seek_rpos: read pos(ds)
189
    s_seek_clip,                        -- seek_clip: clip new ca
190
    s_seek_wpos,                        -- seek_wpos: write pos(ds)
191
    s_init                              -- init: handle init
192
  );
193
 
194
  type regs_type is record              -- state registers
195
    ibsel  : slbit;                     -- ibus select
196
    state  : state_type;                -- state
197
    iaddr  : slv4;                      -- init addr counter
198
    cserr  : slbit;                     -- rlcs: composite error
199
    csde   : slbit;                     -- rlcs: drive error
200
    cse    : slv4;                      -- rlcs: error
201
    csds   : slv2;                      -- rlcs: drive select
202
    cscrdy : slbit;                     -- rlcs: controller ready
203
    csie   : slbit;                     -- rlcs: interrupt enable
204
    csbae  : slv2;                      -- rlcs: bus address extenstion
205
    csfunc : slv3;                      -- rlcs: function code
206
    csdrdy : slbit;                     -- rlcs: drive ready
207
    da     : slv16;                     -- rlda shadow reg
208
    gshs   : slbit;                     -- gs: pos(ds)(hs) (head select)
209
    seekdt : slbit;                     -- seek: drive type: 0=RL01, 1=RL02
210
    seekcan: slv10;                     -- seek: cylinder address, new
211
    seekcac: slv9;                      -- seek: cylinder address, clipped
212
    ireq   : slbit;                     -- interrupt request flag
213
    mploc  : slv3;                      -- mp loc state
214
    mprem  : slv5;                      -- mp rem state
215
    crdone : slbit;                     -- control reset done since last fdone
216
  end record regs_type;
217
 
218
  constant regs_init : regs_type := (
219
    '0',                                -- ibsel
220
    s_init,                             -- state
221
    imem_ba,                            -- iaddr
222
    '0','0',                            -- cserr,csde
223
    (others=>'0'),                      -- cse
224
    (others=>'0'),                      -- csds
225
    '1','0',                            -- cscrdy, csie
226
    (others=>'0'),                      -- csbae
227
    (others=>'0'),                      -- csfunc
228
    '0',                                -- csdrdy
229
    (others=>'0'),                      -- da
230
    '0',                                -- gshs
231
    '0',                                -- seekdt
232
    (others=>'0'),                      -- seekcan
233
    (others=>'0'),                      -- seekcac
234
    '0',                                -- ireq
235
    mploc_mp,                           -- mploc
236
    mprem_init,                         -- mprem
237
    '1'                                 -- crdone
238
  );
239
 
240
  signal R_REGS : regs_type := regs_init;
241
  signal N_REGS : regs_type := regs_init;
242
 
243
  signal MEM_1_WE : slbit := '0';
244
  signal MEM_0_WE : slbit := '0';
245
  signal MEM_ADDR : slv4  := (others=>'0');
246
  signal MEM_DIN  : slv16 := (others=>'0');
247
  signal MEM_DOUT : slv16 := (others=>'0');
248
 
249
begin
250
 
251
  MEM_1 : ram_1swar_gen
252
    generic map (
253
      AWIDTH => 4,
254
      DWIDTH => 8)
255
    port map (
256
      CLK  => CLK,
257
      WE   => MEM_1_WE,
258
      ADDR => MEM_ADDR,
259
      DI   => MEM_DIN(ibf_byte1),
260
      DO   => MEM_DOUT(ibf_byte1));
261
 
262
  MEM_0 : ram_1swar_gen
263
    generic map (
264
      AWIDTH => 4,
265
      DWIDTH => 8)
266
    port map (
267
      CLK  => CLK,
268
      WE   => MEM_0_WE,
269
      ADDR => MEM_ADDR,
270
      DI   => MEM_DIN(ibf_byte0),
271
      DO   => MEM_DOUT(ibf_byte0));
272
 
273
  proc_regs: process (CLK)
274
  begin
275
    if rising_edge(CLK) then
276
      if BRESET='1' then
277
        R_REGS <= regs_init;
278
      else
279
        R_REGS <= N_REGS;
280
      end if;
281
    end if;
282
  end process proc_regs;
283
 
284
  proc_next : process (R_REGS, CE_MSEC, IB_MREQ, MEM_DOUT, EI_ACK)
285
    variable r : regs_type := regs_init;
286
    variable n : regs_type := regs_init;
287
    variable ibhold : slbit := '0';
288
    variable idout  : slv16 := (others=>'0');
289
    variable ibrem  : slbit := '0';
290
    variable ibreq  : slbit := '0';
291
    variable ibrd   : slbit := '0';
292
    variable ibw0   : slbit := '0';
293
    variable ibw1   : slbit := '0';
294
    variable ibwrem : slbit := '0';
295
    variable ilam   : slbit := '0';
296
    variable iei_req : slbit := '0';
297
 
298
    variable imem_we0 : slbit := '0';
299
    variable imem_we1 : slbit := '0';
300
    variable imem_addr : slv4 := (others=>'0');
301
    variable imem_din : slv16 := (others=>'0');
302
  begin
303
 
304
    r := R_REGS;
305
    n := R_REGS;
306
 
307
    ibhold := '0';
308
    idout  := (others=>'0');
309
    ibrem  := IB_MREQ.racc;
310
    ibreq  := IB_MREQ.re or IB_MREQ.we;
311
    ibrd   := IB_MREQ.re;
312
    ibw0   := IB_MREQ.we and IB_MREQ.be0;
313
    ibw1   := IB_MREQ.we and IB_MREQ.be1;
314
    ibwrem := IB_MREQ.we and ibrem;
315
    ilam   := '0';
316
    iei_req := '0';
317
 
318
    imem_we0  := '0';
319
    imem_we1  := '0';
320
    imem_addr := "00" & IB_MREQ.addr(2 downto 1);
321
    imem_din  := IB_MREQ.din;
322
 
323
    -- ibus address decoder
324
    n.ibsel := '0';
325
    if IB_MREQ.aval = '1' and
326
       IB_MREQ.addr(12 downto 3)=ibaddr_rl11(12 downto 3) then
327
      n.ibsel := '1';
328
    end if;
329
 
330
    -- internal state machine
331
    case r.state is
332
      when s_idle =>                    -- idle: handle ibus -----------------
333
 
334
        if r.ibsel='1' then               -- selected
335
          idout := MEM_DOUT;
336
          imem_we0 := ibw0;
337
          imem_we1 := ibw1;
338
 
339
          case IB_MREQ.addr(2 downto 1) is
340
 
341
            when ibaddr_rlcs =>           -- RLCS - control register -------
342
              imem_we0 := '0';              -- MEM not used for rlcs
343
              imem_we1 := '0';
344
              imem_addr := imem_sta(imf_typ) & r.csds;  -- get sta(ds)
345
 
346
              -- determine DRDY
347
              n.csdrdy := '1';
348
              if MEM_DOUT(sta_ibf_st) /= st_lock or -- drive not on and locked
349
                 MEM_DOUT(sta_ibf_vce) = '1' then   -- or volume check
350
                                                    -- ??? also CRDY=0 here ???
351
                n.csdrdy := '0';
352
              end if;
353
 
354
              -- determine DE and ERR
355
              n.cserr := '0';
356
              if MEM_DOUT(sta_ibf_st) = st_load or -- drive off
357
                 MEM_DOUT(sta_ibf_vce) = '1'  then -- or volume check
358
                n.csde := '1';
359
                n.cserr := '1';
360
              end if;
361
              if r.csde = '1' or r.cse /= e_ok then
362
                n.cserr := '1';
363
              end if;
364
 
365
              if ibrd = '1' then            -- cs read
366
                ibhold := '1';
367
                n.state := s_csread;
368
 
369
              elsif IB_MREQ.we = '1' then    -- cs write 
370
 
371
                if ibrem = '0' then             -- loc write access
372
 
373
                  if IB_MREQ.be1 = '1' then
374
                    if r.cscrdy = '1' then        -- freeze csds when busy
375
                      n.csds := IB_MREQ.din(rlcs_ibf_ds);
376
                    end if;
377
                  end if;
378
 
379
                  if IB_MREQ.be0 = '1' then
380
                    n.csie   := IB_MREQ.din(rlcs_ibf_ie);
381
                    n.csbae  := IB_MREQ.din(rlcs_ibf_bae);
382
 
383
                    if r.cscrdy = '1' then      -- controller ready 
384
 
385
                      n.csfunc := IB_MREQ.din(rlcs_ibf_func); -- latch func
386
                      if IB_MREQ.din(rlcs_ibf_crdy) = '1' then  --  no crdy clr
387
                        if IB_MREQ.din(rlcs_ibf_ie) = '1' and r.csie = '0' then
388
                          n.ireq := '1';
389
                        end if;
390
                      else                          -- crdy clr --> handle func
391
 
392
                        n.cserr := '0';                     -- clear errors
393
                        n.csde  := '0';
394
                        n.cse   := "0000";
395
 
396
                        case IB_MREQ.din(rlcs_ibf_func) is
397
                          when func_noop =>                 -- noop -------
398
                            n.ireq := r.csie;                 -- interrupt
399
 
400
                          when func_gs =>                   -- get status -
401
                            if (r.da and rlda_msk_gs) /= rlda_val_gs then
402
                              n.cserr := '1';
403
                              n.cse   := e_incomp;
404
                              n.ireq  := IB_MREQ.din(rlcs_ibf_ie);
405
                            else
406
                              ibhold := '1';
407
                              n.state := s_gs_rpos;
408
                            end if;
409
 
410
                          when func_seek =>                 -- seek -------
411
                            if (r.da and rlda_msk_seek) /= rlda_val_seek then
412
                              n.cserr := '1';
413
                              n.cse   := e_incomp;
414
                              n.ireq  := IB_MREQ.din(rlcs_ibf_ie);
415
                            else
416
                              ibhold := '1';
417
                              n.state := s_seek_rsta;
418
                            end if;
419
 
420
                          when others =>                    -- all other funcs
421
                            n.cscrdy := '0';                  -- signal cntl busy
422
                            ilam := '1';                      -- issue lam
423
                        end case;
424
 
425
                      end if; -- else IB_MREQ.din(rlcs_ibf_crdy) = '1'
426
                    end if; -- r.cscrdy = '1'
427
                  end if; -- IB_MREQ.be0 = '1'
428
 
429
                else                          -- rem write access
430
                  case IB_MREQ.din(rlcs_ibf_func) is
431
 
432
                    when rfunc_wcs =>
433
                      n.csde   := IB_MREQ.din(rlcs_ibf_de);
434
                      n.cse    := IB_MREQ.din(rlcs_ibf_e);
435
                      n.cscrdy := IB_MREQ.din(rlcs_ibf_crdy);
436
                      n.csbae  := IB_MREQ.din(rlcs_ibf_bae);
437
                      if r.cscrdy = '0' and IB_MREQ.din(rlcs_ibf_crdy) = '1' then
438
                        n.ireq := r.csie;
439
                      end if;
440
 
441
                    when rfunc_wmp =>
442
                      if IB_MREQ.din(rlcs_ibf_ena_mprem) = '1' then
443
                        n.mprem := IB_MREQ.din(rlcs_ibf_mprem);
444
                      end if;
445
                      if IB_MREQ.din(rlcs_ibf_ena_mploc) = '1' then
446
                        n.mploc := IB_MREQ.din(rlcs_ibf_mploc);
447
                      end if;
448
 
449
                    when others => null;
450
                  end case;
451
 
452
                end if;
453
              end if;
454
 
455
            when ibaddr_rlba =>           -- RLBA - bus address register ---
456
              imem_din(0) := '0';           -- lsb forced 0
457
              null;
458
 
459
            when ibaddr_rlda =>           -- RLDA - disk address register --
460
              if ibw1 = '1' then
461
                n.da(15 downto 8) := IB_MREQ.din(15 downto 8);
462
              end if;
463
              if ibw0 = '1' then
464
                n.da( 7 downto 0) := IB_MREQ.din( 7 downto 0);
465
              end if;
466
 
467
            when ibaddr_rlmp =>           -- RLMP - multipurpose register --
468
 
469
              if ibrem = '0' then           -- loc access
470
                if ibrd = '1' then            -- loc mp read
471
                  case r.mploc is
472
                    when mploc_mp =>            -- return imem(mp)
473
                      null;
474
                    when mploc_sta =>           -- return sta(ds)
475
                      imem_addr := imem_sta(imf_typ) & r.csds;
476
                    when mploc_pos =>           -- return pos(ds)
477
                      imem_addr := imem_pos(imf_typ) & r.csds;
478
                      n.mploc := mploc_zero;
479
                    when mploc_zero =>          -- return 0
480
                      idout := (others => '0');
481
                      n.mploc := mploc_crc;
482
                    when mploc_crc  =>          -- return imem(crc)
483
                      imem_addr := imem_crc;
484
                    when others => null;
485
                  end case;
486
                elsif IB_MREQ.we = '1' then   -- loc mp write
487
                  n.mploc := mploc_mp;          -- use main mp reg in future
488
                end if;
489
 
490
              else                          -- rem access
491
                if r.mprem(mprem_f_map) = '0' then      -- map off - fixed addr
492
                  imem_addr := r.mprem(mprem_f_addr);
493
                else                                    -- sequence
494
                  case r.mprem(mprem_f_state) is
495
                    when mprem_s_mp =>                    -- mp {used as wc}
496
                      imem_addr := imem_mp;
497
                      if r.mprem(mprem_f_seq) = '1' then -- ??? check re&we !!!
498
                        n.mprem := mprem_mapseq & mprem_s_sta;
499
                      end if;
500
                    when mprem_s_sta =>                   -- sta(ds)
501
                      imem_addr := imem_sta(imf_typ) & r.csds;
502
                      if r.mprem(mprem_f_seq) = '1' then -- ??? check re&we !!!
503
                        n.mprem := mprem_mapseq & mprem_s_pos;
504
                      end if;
505
                    when mprem_s_pos =>                   -- pos(ds)
506
                      imem_addr := imem_pos(imf_typ) & r.csds;
507
                    when others =>                        -- bad state
508
                      imem_addr := imem_bad;
509
 
510
                  end case;
511
                end if;
512
              end if;
513
 
514
            when others => null;
515
 
516
          end case;
517
 
518
        end if;
519
 
520
      when s_csread =>                  -- csread: handle cs read  -----------
521
        idout(rlcs_ibf_err)  := r.cserr;
522
        idout(rlcs_ibf_de)   := r.csde;
523
        idout(rlcs_ibf_e)    := r.cse;
524
        idout(rlcs_ibf_ds)   := r.csds;
525
        idout(rlcs_ibf_crdy) := r.cscrdy;
526
        idout(rlcs_ibf_ie)   := r.csie;
527
        idout(rlcs_ibf_bae)  := r.csbae;
528
        idout(rlcs_ibf_func) := r.csfunc;
529
        idout(rlcs_ibf_drdy) := r.csdrdy;
530
        n.state := s_idle;
531
 
532
      when s_gs_rpos =>                 -- gs_rpos: read pos(ds) -----------
533
        imem_addr := imem_pos(imf_typ) & r.csds;  -- get pos(ds)
534
        n.gshs := MEM_DOUT(pos_ibf_hs);           -- get hs bit
535
        ibhold := r.ibsel;
536
        n.state := s_gs_sta;
537
 
538
      when s_gs_sta =>                  -- gs_sta: handle status -----------
539
        imem_addr := imem_sta(imf_typ) & r.csds;  -- get sta(ds)
540
        imem_we0 := '1';                  -- always update
541
        imem_we1 := '1';
542
        imem_din := MEM_DOUT;
543
        imem_din(sta_ibf_hs) := r.gshs;
544
        if r.da(rlda_ibf_gs_rst) = '1' then  -- if RST set
545
          imem_din(sta_ibf_wde) := '0';        -- clear error bits
546
          imem_din(sta_ibf_che) := '0';
547
          imem_din(sta_ibf_sto) := '0';
548
          imem_din(sta_ibf_spe) := '0';
549
          imem_din(sta_ibf_wge) := '0';
550
          imem_din(sta_ibf_vce) := '0';
551
          imem_din(sta_ibf_dse) := '0';
552
        end if;
553
        n.mploc := mploc_sta;                     -- use sta(ds) as mp
554
        n.ireq := r.csie;                         -- interrupt
555
        n.state := s_idle;
556
 
557
      when s_seek_rsta =>               -- seek_rsta: read sta(ds) -----------
558
        imem_addr := imem_sta(imf_typ) & r.csds;  -- get sta(ds)
559
        n.seekdt := MEM_DOUT(sta_ibf_dt);
560
        imem_din := MEM_DOUT;
561
        if MEM_DOUT(sta_ibf_st) /= st_lock then   -- drive off
562
          imem_we0 := '1';                          -- update sta
563
          imem_we1 := '1';
564
          imem_din(sta_ibf_sto) := '1';             -- set STO (seek time out)
565
          n.cse := e_incomp;
566
          n.ireq := r.csie;                         -- interrupt
567
          n.state := s_idle;
568
        else                                      -- drive on
569
          ibhold := r.ibsel;
570
          n.state := s_seek_rpos;
571
        end if;
572
 
573
      when s_seek_rpos =>               -- seek_rpos: read pos(ds) -----------
574
        imem_addr := imem_pos(imf_typ) & r.csds;  -- get pos(ds)
575
        if r.da(rlda_ibf_seek_dir) = '1' then
576
          n.seekcan := slv(unsigned('0' & MEM_DOUT(pos_ibf_ca)) +
577
                           unsigned('0' & r.da(rlda_ibf_seek_df)) );
578
        else
579
          n.seekcan := slv(unsigned('0' & MEM_DOUT(pos_ibf_ca)) -
580
                           unsigned('0' & r.da(rlda_ibf_seek_df)) );
581
        end if;
582
        ibhold := r.ibsel;
583
        n.state := s_seek_clip;
584
 
585
      when s_seek_clip =>               -- seek_clip: clip new ca ------------
586
        n.seekcac := r.seekcan(8 downto 0);
587
        -- new ca overflowed ? for RL02 (9) and for RL01 (9:8) must be "00"
588
        if r.seekcan(9) = '1' or
589
           (r.seekdt = '0' and r.seekcan(8) = '1') then
590
          if r.da(rlda_ibf_seek_dir) = '1' then  -- outward seek
591
            if r.seekdt = '1' then                  -- is RL02
592
              n.seekcac := ca_max_rl02;               -- clip to RL02 max ca
593
            else                                    -- is RL01
594
              n.seekcac := ca_max_rl01;               -- clip to RL01 max ca
595
            end if;
596
          else                                   -- inward seek
597
            n.seekcac := "000000000";               -- clip to 0
598
          end if;
599
        end if;
600
        ibhold := r.ibsel;
601
        n.state := s_seek_wpos;
602
 
603
      when s_seek_wpos =>               -- seek_wpos: write pos(ds) ----------
604
        imem_addr := imem_pos(imf_typ) & r.csds;  -- get pos(ds)
605
        imem_we0 := '1';
606
        imem_we1 := '1';
607
        imem_din := MEM_DOUT;
608
        imem_din(pos_ibf_ca) := r.seekcac;
609
        imem_din(pos_ibf_hs) := r.da(rlda_ibf_seek_hs);
610
        n.ireq := r.csie;                         -- interrupt
611
        n.state := s_idle;
612
 
613
      when s_init =>                    -- init: handle init -----------------
614
        ibhold := r.ibsel;              -- hold ibus when controller busy        
615
        imem_addr := r.iaddr;
616
        imem_din  := (others=>'0');
617
        imem_we0 := '1';
618
        imem_we1 := '1';
619
        if r.iaddr(imf_typ) = imem_sta(imf_typ) then  -- if sta(x)
620
          imem_din  := MEM_DOUT;                        -- keep state 
621
          imem_din(sta_ibf_wde) := '0';                 -- and clear err
622
          imem_din(sta_ibf_che) := '0';
623
          imem_din(sta_ibf_sto) := '0';
624
          imem_din(sta_ibf_spe) := '0';
625
          imem_din(sta_ibf_wge) := '0';
626
          imem_din(sta_ibf_vce) := '0';
627
          imem_din(sta_ibf_dse) := '0';
628
        end if;
629
        n.iaddr := slv(unsigned(r.iaddr) + 1);
630
        if unsigned(r.iaddr) = unsigned(imem_sta)+3 then -- stop after sta(3)
631
          n.state := s_idle;
632
        end if;
633
 
634
      when others => null;
635
    end case;
636
 
637
    iei_req := r.ireq;                  -- ??? simplify, use r.ireq directly
638
 
639
    if EI_ACK = '1' or r.csie = '0' then  -- interrupt executed or ie disabled
640
      n.ireq := '0';                      -- cancel request
641
    end if;
642
 
643
    N_REGS <= n;
644
 
645
    MEM_0_WE <= imem_we0;
646
    MEM_1_WE <= imem_we1;
647
    MEM_ADDR <= imem_addr;
648
    MEM_DIN  <= imem_din;
649
 
650
    IB_SRES.dout <= idout;
651
    IB_SRES.ack  <= r.ibsel and ibreq;
652
    IB_SRES.busy <= ibhold  and ibreq;
653
 
654
    RB_LAM <= ilam;
655
    EI_REQ <= iei_req;
656
 
657
  end process proc_next;
658
 
659
 
660
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.