OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [sys_gen/] [tst_rlink/] [nexys3/] [sys_tst_rlink_n3.vhd] - Blame information for rev 38

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 36 wfjm
-- $Id: sys_tst_rlink_n3.vhd 748 2016-03-20 15:18:50Z mueller $
2 15 wfjm
--
3 35 wfjm
-- Copyright 2011-2016 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 15 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    sys_tst_rlink_n3 - syn
16
-- Description:    rlink tester design for nexys3
17
--
18 22 wfjm
-- Dependencies:   vlib/xlib/s6_cmt_sfs
19 15 wfjm
--                 vlib/genlib/clkdivce
20
--                 bplib/bpgen/bp_rs232_2l4l_iob
21
--                 bplib/bpgen/sn_humanio_rbus
22 16 wfjm
--                 vlib/rlink/rlink_sp1c
23
--                 rbd_tst_rlink
24
--                 vlib/rbus/rb_sres_or_2
25 15 wfjm
--                 vlib/nxcramlib/nx_cram_dummy
26
--
27
-- Test bench:     tb/tb_tst_rlink_n3
28
--
29
-- Target Devices: generic
30 35 wfjm
-- Tool versions:  xst 13.1-14.7; ghdl 0.29-0.33
31 15 wfjm
--
32
-- Synthesized (xst):
33
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
34 35 wfjm
-- 2016-03-13   743 14.7  131013 xc6slx16-2   950 1380   70  504
35
-- 2014-12-20   614 14.7  131013 xc6slx16-2   917 1379   64  513 t  8.9
36 16 wfjm
-- 2011-12-18   440 13.1    O40d xc6slx16-2   752 1258   48  439 t  7.9
37 15 wfjm
-- 2011-11-26   433 13.1    O40d xc6slx16-2   722 1199   36  423 t  9.7
38
--
39
-- Revision History: 
40
-- Date         Rev Version  Comment
41 36 wfjm
-- 2016-03-19   748   1.4.2  define rlink SYSID
42 30 wfjm
-- 2015-04-11   666   1.4.1  rearrange XON handling
43 27 wfjm
-- 2014-11-09   603   1.4    use new rlink v4 iface and 4 bit STAT
44
-- 2014-08-15   583   1.3    rb_mreq addr now 16 bit
45 22 wfjm
-- 2013-10-06   538   1.2    pll support, use clksys_vcodivide ect
46 16 wfjm
-- 2011-12-18   440   1.1.1  use [rt]xok for DSP_DP
47
-- 2011-12-11   438   1.1    use now rbd_tst_rlink and rlink_sp1c
48 15 wfjm
-- 2011-11-26   433   1.0    Initial version (derived from sys_tst_rlink_n2)
49
------------------------------------------------------------------------------
50
-- Usage of Nexys 3 Switches, Buttons, LEDs:
51
--
52 16 wfjm
--    SWI(7:2): no function (only connected to sn_humanio_rbus)
53
--    SWI(1):   1 enable XON
54 15 wfjm
--    SWI(0):   0 -> main board RS232 port  - implemented in bp_rs232_2l4l_iob
55
--              1 -> Pmod B/top RS232 port  /
56
--
57 16 wfjm
--    LED(7):   SER_MONI.abact
58
--    LED(6:2): no function (only connected to sn_humanio_rbus)
59 35 wfjm
--    LED(1):   timer 1 busy 
60 15 wfjm
--    LED(0):   timer 0 busy 
61
--
62 16 wfjm
--    DSP:      SER_MONI.clkdiv         (from auto bauder)
63
--    DP(3):    not SER_MONI.txok       (shows tx back preasure)
64
--    DP(2):    SER_MONI.txact          (shows tx activity)
65
--    DP(1):    not SER_MONI.rxok       (shows rx back preasure)
66
--    DP(0):    SER_MONI.rxact          (shows rx activity)
67 15 wfjm
--
68
 
69
library ieee;
70
use ieee.std_logic_1164.all;
71
 
72
use work.slvtypes.all;
73
use work.xlib.all;
74
use work.genlib.all;
75 19 wfjm
use work.serportlib.all;
76 15 wfjm
use work.rblib.all;
77
use work.rlinklib.all;
78
use work.bpgenlib.all;
79 19 wfjm
use work.bpgenrbuslib.all;
80 15 wfjm
use work.nxcramlib.all;
81
use work.sys_conf.all;
82
 
83
-- ----------------------------------------------------------------------------
84
 
85
entity sys_tst_rlink_n3 is              -- top level
86
                                        -- implements nexys3_fusp_aif
87
  port (
88
    I_CLK100 : in slbit;                -- 100 MHz clock
89
    I_RXD : in slbit;                   -- receive data (board view)
90
    O_TXD : out slbit;                  -- transmit data (board view)
91
    I_SWI : in slv8;                    -- n3 switches
92
    I_BTN : in slv5;                    -- n3 buttons
93
    O_LED : out slv8;                   -- n3 leds
94
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
95
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
96
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
97
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
98
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
99
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
100
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
101
    O_MEM_CLK : out slbit;              -- cram: clock
102
    O_MEM_CRE : out slbit;              -- cram: command register enable
103
    I_MEM_WAIT : in slbit;              -- cram: mem wait
104
    O_MEM_ADDR  : out slv23;            -- cram: address lines
105
    IO_MEM_DATA : inout slv16;          -- cram: data lines
106
    O_PPCM_CE_N : out slbit;            -- ppcm: ...
107
    O_PPCM_RST_N : out slbit;           -- ppcm: ...
108
    O_FUSP_RTS_N : out slbit;           -- fusp: rs232 rts_n
109
    I_FUSP_CTS_N : in slbit;            -- fusp: rs232 cts_n
110
    I_FUSP_RXD : in slbit;              -- fusp: rs232 rx
111
    O_FUSP_TXD : out slbit              -- fusp: rs232 tx
112
  );
113
end sys_tst_rlink_n3;
114
 
115
architecture syn of sys_tst_rlink_n3 is
116
 
117
  signal CLK :   slbit := '0';
118
 
119
  signal RXD :   slbit := '1';
120
  signal TXD :   slbit := '0';
121
  signal RTS_N : slbit := '0';
122
  signal CTS_N : slbit := '0';
123
 
124
  signal SWI     : slv8  := (others=>'0');
125
  signal BTN     : slv5  := (others=>'0');
126
  signal LED     : slv8  := (others=>'0');
127
  signal DSP_DAT : slv16 := (others=>'0');
128
  signal DSP_DP  : slv4  := (others=>'0');
129
 
130
  signal RESET   : slbit := '0';
131
  signal CE_USEC : slbit := '0';
132
  signal CE_MSEC : slbit := '0';
133
 
134 16 wfjm
  signal RB_MREQ : rb_mreq_type := rb_mreq_init;
135
  signal RB_SRES : rb_sres_type := rb_sres_init;
136
  signal RB_SRES_HIO : rb_sres_type := rb_sres_init;
137
  signal RB_SRES_TST : rb_sres_type := rb_sres_init;
138
 
139
  signal RB_LAM  : slv16 := (others=>'0');
140 27 wfjm
  signal RB_STAT : slv4  := (others=>'0');
141 16 wfjm
 
142
  signal SER_MONI : serport_moni_type := serport_moni_init;
143 15 wfjm
  signal STAT    : slv8  := (others=>'0');
144
 
145 35 wfjm
  constant rbaddr_hio   : slv16 := x"fef0"; -- fef0/0008: 1111 1110 1111 0xxx
146 15 wfjm
 
147 36 wfjm
  constant sysid_proj  : slv16 := x"0101";   -- tst_rlink
148
  constant sysid_board : slv8  := x"03";     -- nexys3
149
  constant sysid_vers  : slv8  := x"00";
150
 
151 15 wfjm
begin
152
 
153
  assert (sys_conf_clksys mod 1000000) = 0
154
    report "assert sys_conf_clksys on MHz grid"
155
    severity failure;
156
 
157
  RESET <= '0';                         -- so far not used
158
 
159 22 wfjm
  GEN_CLKSYS : s6_cmt_sfs
160 15 wfjm
    generic map (
161 22 wfjm
      VCO_DIVIDE     => sys_conf_clksys_vcodivide,
162
      VCO_MULTIPLY   => sys_conf_clksys_vcomultiply,
163
      OUT_DIVIDE     => sys_conf_clksys_outdivide,
164
      CLKIN_PERIOD   => 10.0,
165
      CLKIN_JITTER   => 0.01,
166
      STARTUP_WAIT   => false,
167
      GEN_TYPE       => sys_conf_clksys_gentype)
168 15 wfjm
    port map (
169
      CLKIN   => I_CLK100,
170
      CLKFX   => CLK,
171
      LOCKED  => open
172
    );
173
 
174
  CLKDIV : clkdivce
175
    generic map (
176
      CDUWIDTH => 7,
177
      USECDIV  => sys_conf_clksys_mhz,
178
      MSECDIV  => 1000)
179
    port map (
180
      CLK     => CLK,
181
      CE_USEC => CE_USEC,
182
      CE_MSEC => CE_MSEC
183
    );
184
 
185
  IOB_RS232 : bp_rs232_2l4l_iob
186
    port map (
187
      CLK      => CLK,
188
      RESET    => '0',
189
      SEL      => SWI(0),
190
      RXD      => RXD,
191
      TXD      => TXD,
192
      CTS_N    => CTS_N,
193
      RTS_N    => RTS_N,
194
      I_RXD0   => I_RXD,
195
      O_TXD0   => O_TXD,
196
      I_RXD1   => I_FUSP_RXD,
197
      O_TXD1   => O_FUSP_TXD,
198
      I_CTS1_N => I_FUSP_CTS_N,
199
      O_RTS1_N => O_FUSP_RTS_N
200
    );
201
 
202
  HIO : sn_humanio_rbus
203
    generic map (
204
      BWIDTH   => 5,
205
      DEBOUNCE => sys_conf_hio_debounce,
206
      RB_ADDR  => rbaddr_hio)
207
    port map (
208
      CLK     => CLK,
209
      RESET   => RESET,
210
      CE_MSEC => CE_MSEC,
211 16 wfjm
      RB_MREQ => RB_MREQ,
212
      RB_SRES => RB_SRES_HIO,
213 15 wfjm
      SWI     => SWI,
214
      BTN     => BTN,
215
      LED     => LED,
216
      DSP_DAT => DSP_DAT,
217
      DSP_DP  => DSP_DP,
218
      I_SWI   => I_SWI,
219
      I_BTN   => I_BTN,
220
      O_LED   => O_LED,
221
      O_ANO_N => O_ANO_N,
222
      O_SEG_N => O_SEG_N
223
    );
224
 
225 16 wfjm
  RLINK : rlink_sp1c
226 15 wfjm
    generic map (
227 27 wfjm
      BTOWIDTH     => 6,
228
      RTAWIDTH     => 12,
229 36 wfjm
      SYSID        => sysid_proj & sysid_board & sysid_vers,
230 16 wfjm
      IFAWIDTH     => 5,
231
      OFAWIDTH     => 5,
232
      ENAPIN_RLMON => sbcntl_sbf_rlmon,
233
      ENAPIN_RBMON => sbcntl_sbf_rbmon,
234
      CDWIDTH      => 15,
235 30 wfjm
      CDINIT       => sys_conf_ser2rri_cdinit,
236 35 wfjm
      RBMON_AWIDTH => 0,                -- must be 0, rbmon in rbd_tst_rlink
237
      RBMON_RBADDR => (others=>'0'))
238 15 wfjm
    port map (
239 16 wfjm
      CLK      => CLK,
240
      CE_USEC  => CE_USEC,
241
      CE_MSEC  => CE_MSEC,
242
      CE_INT   => CE_MSEC,
243
      RESET    => RESET,
244
      ENAXON   => SWI(1),
245 30 wfjm
      ESCFILL  => '0',
246 16 wfjm
      RXSD     => RXD,
247
      TXSD     => TXD,
248
      CTS_N    => CTS_N,
249
      RTS_N    => RTS_N,
250
      RB_MREQ  => RB_MREQ,
251
      RB_SRES  => RB_SRES,
252
      RB_LAM   => RB_LAM,
253
      RB_STAT  => RB_STAT,
254
      RL_MONI  => open,
255
      SER_MONI => SER_MONI
256
    );
257
 
258
  RBDTST : entity work.rbd_tst_rlink
259
    port map (
260 15 wfjm
      CLK         => CLK,
261
      RESET       => RESET,
262
      CE_USEC     => CE_USEC,
263 16 wfjm
      RB_MREQ     => RB_MREQ,
264
      RB_SRES     => RB_SRES_TST,
265
      RB_LAM      => RB_LAM,
266
      RB_STAT     => RB_STAT,
267
      RB_SRES_TOP => RB_SRES,
268
      RXSD        => RXD,
269
      RXACT       => SER_MONI.rxact,
270 15 wfjm
      STAT        => STAT
271
    );
272
 
273 16 wfjm
  RB_SRES_OR1 : rb_sres_or_2
274
    port map (
275
      RB_SRES_1  => RB_SRES_HIO,
276
      RB_SRES_2  => RB_SRES_TST,
277
      RB_SRES_OR => RB_SRES
278
    );
279
 
280 15 wfjm
  SRAM_PROT : nx_cram_dummy            -- connect CRAM to protection dummy
281
    port map (
282
      O_MEM_CE_N  => O_MEM_CE_N,
283
      O_MEM_BE_N  => O_MEM_BE_N,
284
      O_MEM_WE_N  => O_MEM_WE_N,
285
      O_MEM_OE_N  => O_MEM_OE_N,
286
      O_MEM_ADV_N => O_MEM_ADV_N,
287
      O_MEM_CLK   => O_MEM_CLK,
288
      O_MEM_CRE   => O_MEM_CRE,
289
      I_MEM_WAIT  => I_MEM_WAIT,
290
      O_MEM_ADDR  => O_MEM_ADDR,
291
      IO_MEM_DATA => IO_MEM_DATA
292
    );
293
 
294
  O_PPCM_CE_N  <= '1';                  -- keep parallel PCM memory disabled
295
  O_PPCM_RST_N <= '1';                  --
296
 
297 16 wfjm
  DSP_DAT   <= SER_MONI.abclkdiv;
298 15 wfjm
 
299 16 wfjm
  DSP_DP(3) <= not SER_MONI.txok;
300
  DSP_DP(2) <= SER_MONI.txact;
301
  DSP_DP(1) <= not SER_MONI.rxok;
302
  DSP_DP(0) <= SER_MONI.rxact;
303
 
304
  LED(7) <= SER_MONI.abact;
305 15 wfjm
  LED(6 downto 2) <= (others=>'0');
306
  LED(1) <= STAT(1);
307
  LED(0) <= STAT(0);
308
 
309
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.