OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [sys_gen/] [tst_rlink_cuff/] [atlys/] [sys_tst_rlink_cuff_atlys.vhd] - Blame information for rev 40

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 35 wfjm
-- $Id: sys_tst_rlink_cuff_atlys.vhd 734 2016-02-20 22:43:20Z mueller $
2 18 wfjm
--
3 29 wfjm
-- Copyright 2013-2015 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 18 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    sys_tst_rlink_cuff_atlys - syn
16
-- Description:    rlink tester design for atlys with fx2 interface
17
--
18
-- Dependencies:   vlib/xlib/dcm_sfs
19
--                 vlib/genlib/clkdivce
20
--                 bplib/bpgen/bp_rs232_2l4l_iob
21 19 wfjm
--                 bplib/bpgen/sn_humanio_demu_rbus
22 18 wfjm
--                 bplib/fx2lib/fx2_2fifoctl_ic   [sys_conf_fx2_type="ic2"]
23
--                 bplib/fx2lib/fx2_3fifoctl_ic   [sys_conf_fx2_type="ic3"]
24
--                 tst_rlink_cuff
25
--
26
-- Test bench:     -
27
--
28
-- Target Devices: generic
29 27 wfjm
-- Tool versions:  xst 13.3-14.7; ghdl 0.29-0.31
30 18 wfjm
--
31
-- Synthesized (xst):
32
-- Date         Rev  ise         Target      flop lutl lutm slic t peri ctl/MHz
33
-- 2013-01-06   472 13.3    O76d xc6slx45     ???  ???? ??? ???? p ??.? ic2/100
34
--
35
-- Revision History: 
36
-- Date         Rev Version  Comment
37 29 wfjm
-- 2015-01-25   638   1.1.2  retire fx2_2fifoctl_as
38 28 wfjm
-- 2014-12-24   620   1.1.1  relocate hio rbus address
39 27 wfjm
-- 2014-08-15   583   1.1    rb_mreq addr now 16 bit
40 18 wfjm
-- 2013-01-06   472   1.0    Initial version; derived from sys_tst_rlink_cuff_n3
41
--                           and sys_tst_fx2loop_atlys
42
------------------------------------------------------------------------------
43
-- Usage of Atlys Switches, Buttons, LEDs:
44
--
45 19 wfjm
--    SWI(7:3)  no function (only connected to sn_humanio_demu_rbus)
46 18 wfjm
--       (2)    0 -> int/ext RS242 port for rlink
47
--              1 -> use USB interface for rlink
48
--       (1)    1 enable XON
49
--       (0)    0 -> main board RS232 port  - implemented in bp_rs232_2l4l_iob
50
--              1 -> Pmod B/top RS232 port  /
51
--
52
--    LED(7)    SER_MONI.abact
53 19 wfjm
--       (6:2)  no function (only connected to sn_humanio_demu_rbus)
54 35 wfjm
--       (1)    timer 1 busy 
55 18 wfjm
--       (0)    timer 0 busy 
56
--
57
--    DSP:      SER_MONI.clkdiv         (from auto bauder)
58
--    for SWI(2)='0' (serport)
59
--    DP(3)     not SER_MONI.txok       (shows tx back preasure)
60
--      (2)     SER_MONI.txact          (shows tx activity)
61
--      (1)     not SER_MONI.rxok       (shows rx back preasure)
62
--      (0)     SER_MONI.rxact          (shows rx activity)
63
--    for SWI(2)='1' (fx2)
64
--    DP(3)     FX2_TX2BUSY             (shows tx2 back preasure)
65
--      (2)     FX2_TX2ENA(stretched)   (shows tx2 activity)
66
--      (1)     FX2_TXENA(streched)     (shows tx activity)
67
--      (0)     FX2_RXVAL(stretched)    (shows rx activity)
68
--
69
 
70
library ieee;
71
use ieee.std_logic_1164.all;
72
use ieee.numeric_std.all;
73
 
74
use work.slvtypes.all;
75
use work.xlib.all;
76
use work.genlib.all;
77
use work.bpgenlib.all;
78 19 wfjm
use work.bpgenrbuslib.all;
79 18 wfjm
use work.rblib.all;
80
use work.fx2lib.all;
81
use work.sys_conf.all;
82
 
83
-- ----------------------------------------------------------------------------
84
 
85
entity sys_tst_rlink_cuff_atlys is      -- top level
86
                                        -- implements atlys_fusp_cuff_aif
87
  port (
88
    I_CLK100 : in slbit;                -- 100 MHz clock
89
    I_USB_RXD : in slbit;               -- USB UART receive data (board view)
90
    O_USB_TXD : out slbit;              -- USB UART transmit data (board view)
91
    I_HIO_SWI : in slv8;                -- atlys hio switches
92
    I_HIO_BTN : in slv6;                -- atlys hio buttons
93
    O_HIO_LED: out slv8;                -- atlys hio leds
94
    O_FUSP_RTS_N : out slbit;           -- fusp: rs232 rts_n
95
    I_FUSP_CTS_N : in slbit;            -- fusp: rs232 cts_n
96
    I_FUSP_RXD : in slbit;              -- fusp: rs232 rx
97
    O_FUSP_TXD : out slbit;             -- fusp: rs232 tx
98
    I_FX2_IFCLK : in slbit;             -- fx2: interface clock
99
    O_FX2_FIFO : out slv2;              -- fx2: fifo address
100
    I_FX2_FLAG : in slv4;               -- fx2: fifo flags
101
    O_FX2_SLRD_N : out slbit;           -- fx2: read enable    (act.low)
102
    O_FX2_SLWR_N : out slbit;           -- fx2: write enable   (act.low)
103
    O_FX2_SLOE_N : out slbit;           -- fx2: output enable  (act.low)
104
    O_FX2_PKTEND_N : out slbit;         -- fx2: packet end     (act.low)
105
    IO_FX2_DATA : inout slv8            -- fx2: data lines
106
  );
107
end sys_tst_rlink_cuff_atlys;
108
 
109
architecture syn of sys_tst_rlink_cuff_atlys is
110
 
111
  signal CLK :   slbit := '0';
112
  signal RESET : slbit := '0';
113
 
114
  signal CE_USEC :  slbit := '0';
115
  signal CE_MSEC :  slbit := '0';
116
 
117
  signal RXSD  : slbit := '0';
118
  signal TXSD  : slbit := '0';
119
  signal CTS_N : slbit := '0';
120
  signal RTS_N : slbit := '0';
121
 
122
  signal SWI     : slv8  := (others=>'0');
123
  signal BTN     : slv4  := (others=>'0');
124
  signal LED     : slv8  := (others=>'0');
125
  signal DSP_DAT : slv16 := (others=>'0');
126
  signal DSP_DP  : slv4  := (others=>'0');
127
 
128
  signal RB_MREQ : rb_mreq_type := rb_mreq_init;
129
  signal RB_SRES_HIO : rb_sres_type := rb_sres_init;
130
 
131
  signal FX2_RXDATA   : slv8 := (others=>'0');
132
  signal FX2_RXVAL    : slbit := '0';
133
  signal FX2_RXHOLD   : slbit := '0';
134
  signal FX2_RXAEMPTY : slbit := '0';
135
  signal FX2_TXDATA   : slv8 := (others=>'0');
136
  signal FX2_TXENA    : slbit := '0';
137
  signal FX2_TXBUSY   : slbit := '0';
138
  signal FX2_TXAFULL  : slbit := '0';
139
  signal FX2_TX2DATA  : slv8 := (others=>'0');
140
  signal FX2_TX2ENA   : slbit := '0';
141
  signal FX2_TX2BUSY  : slbit := '0';
142
  signal FX2_TX2AFULL : slbit := '0';
143
  signal FX2_MONI  : fx2ctl_moni_type := fx2ctl_moni_init;
144
 
145 35 wfjm
  constant rbaddr_hio   : slv16 := x"fef0"; -- fef0/0008: 1111 1110 1111 0xxx
146 18 wfjm
 
147
begin
148
 
149
  assert (sys_conf_clksys mod 1000000) = 0
150
    report "assert sys_conf_clksys on MHz grid"
151
    severity failure;
152
 
153
  DCM : dcm_sfs
154
    generic map (
155
      CLKFX_DIVIDE   => sys_conf_clkfx_divide,
156
      CLKFX_MULTIPLY => sys_conf_clkfx_multiply,
157
      CLKIN_PERIOD   => 10.0)
158
    port map (
159
      CLKIN   => I_CLK100,
160
      CLKFX   => CLK,
161
      LOCKED  => open
162
    );
163
 
164
  CLKDIV : clkdivce
165
    generic map (
166
      CDUWIDTH => 7,                    -- good for up to 127 MHz !
167
      USECDIV  => sys_conf_clksys_mhz,
168
      MSECDIV  => 1000)
169
    port map (
170
      CLK     => CLK,
171
      CE_USEC => CE_USEC,
172
      CE_MSEC => CE_MSEC
173
    );
174
 
175
  IOB_RS232 : bp_rs232_2l4l_iob
176
    port map (
177
      CLK      => CLK,
178
      RESET    => '0',
179
      SEL      => SWI(0),
180
      RXD      => RXSD,
181
      TXD      => TXSD,
182
      CTS_N    => CTS_N,
183
      RTS_N    => RTS_N,
184
      I_RXD0   => I_USB_RXD,
185
      O_TXD0   => O_USB_TXD,
186
      I_RXD1   => I_FUSP_RXD,
187
      O_TXD1   => O_FUSP_TXD,
188
      I_CTS1_N => I_FUSP_CTS_N,
189
      O_RTS1_N => O_FUSP_RTS_N
190
    );
191
 
192
  HIO : sn_humanio_demu_rbus
193
    generic map (
194
      DEBOUNCE => sys_conf_hio_debounce,
195
      RB_ADDR  => rbaddr_hio)
196
    port map (
197
      CLK     => CLK,
198
      RESET   => RESET,
199
      CE_MSEC => CE_MSEC,
200
      RB_MREQ => RB_MREQ,
201
      RB_SRES => RB_SRES_HIO,
202
      SWI     => SWI,
203
      BTN     => BTN,
204
      LED     => LED,
205
      DSP_DAT => DSP_DAT,
206
      DSP_DP  => DSP_DP,
207
      I_SWI   => I_HIO_SWI,
208
      I_BTN   => I_HIO_BTN,
209
      O_LED   => O_HIO_LED
210
    );
211
 
212
  FX2_CNTL_IC : if sys_conf_fx2_type = "ic2" generate
213
    CNTL : fx2_2fifoctl_ic
214
      generic map (
215
        RXFAWIDTH  => 5,
216
        TXFAWIDTH  => 5,
217
        PETOWIDTH  => sys_conf_fx2_petowidth,
218
        CCWIDTH    => sys_conf_fx2_ccwidth,
219
        RXAEMPTY_THRES => 1,
220
        TXAFULL_THRES  => 1)
221
      port map (
222
        CLK      => CLK,
223
        RESET    => RESET,
224
        RXDATA   => FX2_RXDATA,
225
        RXVAL    => FX2_RXVAL,
226
        RXHOLD   => FX2_RXHOLD,
227
        RXAEMPTY => FX2_RXAEMPTY,
228
        TXDATA   => FX2_TXDATA,
229
        TXENA    => FX2_TXENA,
230
        TXBUSY   => FX2_TXBUSY,
231
        TXAFULL  => FX2_TXAFULL,
232
        MONI           => FX2_MONI,
233
        I_FX2_IFCLK    => I_FX2_IFCLK,
234
        O_FX2_FIFO     => O_FX2_FIFO,
235
        I_FX2_FLAG     => I_FX2_FLAG,
236
        O_FX2_SLRD_N   => O_FX2_SLRD_N,
237
        O_FX2_SLWR_N   => O_FX2_SLWR_N,
238
        O_FX2_SLOE_N   => O_FX2_SLOE_N,
239
        O_FX2_PKTEND_N => O_FX2_PKTEND_N,
240
        IO_FX2_DATA    => IO_FX2_DATA
241
      );
242
  end generate FX2_CNTL_IC;
243
 
244
  FX2_CNTL_IC3 : if sys_conf_fx2_type = "ic3" generate
245
    CNTL : fx2_3fifoctl_ic
246
      generic map (
247
        RXFAWIDTH  => 5,
248
        TXFAWIDTH  => 5,
249
        PETOWIDTH  => sys_conf_fx2_petowidth,
250
        CCWIDTH    => sys_conf_fx2_ccwidth,
251
        RXAEMPTY_THRES => 1,
252
        TXAFULL_THRES  => 1,
253
        TX2AFULL_THRES => 1)
254
      port map (
255
        CLK      => CLK,
256
        RESET    => RESET,
257
        RXDATA   => FX2_RXDATA,
258
        RXVAL    => FX2_RXVAL,
259
        RXHOLD   => FX2_RXHOLD,
260
        RXAEMPTY => FX2_RXAEMPTY,
261
        TXDATA   => FX2_TXDATA,
262
        TXENA    => FX2_TXENA,
263
        TXBUSY   => FX2_TXBUSY,
264
        TXAFULL  => FX2_TXAFULL,
265
        TX2DATA  => FX2_TX2DATA,
266
        TX2ENA   => FX2_TX2ENA,
267
        TX2BUSY  => FX2_TX2BUSY,
268
        TX2AFULL => FX2_TX2AFULL,
269
        MONI           => FX2_MONI,
270
        I_FX2_IFCLK    => I_FX2_IFCLK,
271
        O_FX2_FIFO     => O_FX2_FIFO,
272
        I_FX2_FLAG     => I_FX2_FLAG,
273
        O_FX2_SLRD_N   => O_FX2_SLRD_N,
274
        O_FX2_SLWR_N   => O_FX2_SLWR_N,
275
        O_FX2_SLOE_N   => O_FX2_SLOE_N,
276
        O_FX2_PKTEND_N => O_FX2_PKTEND_N,
277
        IO_FX2_DATA    => IO_FX2_DATA
278
      );
279
  end generate FX2_CNTL_IC3;
280
 
281
  TST : entity work.tst_rlink_cuff
282
    port map (
283
      CLK         => CLK,
284
      RESET       => '0',
285
      CE_USEC     => CE_USEC,
286
      CE_MSEC     => CE_MSEC,
287
      RB_MREQ_TOP => RB_MREQ,
288
      RB_SRES_TOP => RB_SRES_HIO,
289
      SWI         => SWI,
290
      BTN         => BTN(3 downto 0),
291
      LED         => LED,
292
      DSP_DAT     => DSP_DAT,
293
      DSP_DP      => DSP_DP,
294
      RXSD        => RXSD,
295
      TXSD        => TXSD,
296
      RTS_N       => RTS_N,
297
      CTS_N       => CTS_N,
298
      FX2_RXDATA  => FX2_RXDATA,
299
      FX2_RXVAL   => FX2_RXVAL,
300
      FX2_RXHOLD  => FX2_RXHOLD,
301
      FX2_TXDATA  => FX2_TXDATA,
302
      FX2_TXENA   => FX2_TXENA,
303
      FX2_TXBUSY  => FX2_TXBUSY,
304
      FX2_TX2DATA => FX2_TX2DATA,
305
      FX2_TX2ENA  => FX2_TX2ENA,
306
      FX2_TX2BUSY => FX2_TX2BUSY,
307
      FX2_MONI    => FX2_MONI
308
    );
309
 
310
end syn;
311
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.