1 |
27 |
wfjm |
-- $Id: sys_tst_rlink_cuff_n3.vhd 614 2014-12-20 15:00:45Z mueller $
|
2 |
18 |
wfjm |
--
|
3 |
27 |
wfjm |
-- Copyright 2013-2014 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
|
4 |
18 |
wfjm |
--
|
5 |
|
|
-- This program is free software; you may redistribute and/or modify it under
|
6 |
|
|
-- the terms of the GNU General Public License as published by the Free
|
7 |
|
|
-- Software Foundation, either version 2, or at your option any later version.
|
8 |
|
|
--
|
9 |
|
|
-- This program is distributed in the hope that it will be useful, but
|
10 |
|
|
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
|
11 |
|
|
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
|
12 |
|
|
-- for complete details.
|
13 |
|
|
--
|
14 |
|
|
------------------------------------------------------------------------------
|
15 |
|
|
-- Module Name: sys_tst_rlink_cuff_n3 - syn
|
16 |
|
|
-- Description: rlink tester design for nexys3 with fx2 interface
|
17 |
|
|
--
|
18 |
22 |
wfjm |
-- Dependencies: vlib/xlib/s6_cmt_sfs
|
19 |
18 |
wfjm |
-- vlib/genlib/clkdivce
|
20 |
|
|
-- bplib/bpgen/bp_rs232_2l4l_iob
|
21 |
|
|
-- bplib/bpgen/sn_humanio_rbus
|
22 |
|
|
-- bplib/fx2lib/fx2_2fifoctl_as [sys_conf_fx2_type="as2"]
|
23 |
|
|
-- bplib/fx2lib/fx2_2fifoctl_ic [sys_conf_fx2_type="ic2"]
|
24 |
|
|
-- bplib/fx2lib/fx2_3fifoctl_ic [sys_conf_fx2_type="ic3"]
|
25 |
|
|
-- tst_rlink_cuff
|
26 |
|
|
-- bplib/nxcramlib/nx_cram_dummy
|
27 |
|
|
--
|
28 |
|
|
-- Test bench: -
|
29 |
|
|
--
|
30 |
|
|
-- Target Devices: generic
|
31 |
27 |
wfjm |
-- Tool versions: xst 13.3-14.7; ghdl 0.29-0.31
|
32 |
18 |
wfjm |
--
|
33 |
|
|
-- Synthesized (xst):
|
34 |
|
|
-- Date Rev ise Target flop lutl lutm slic t peri ctl/MHz
|
35 |
27 |
wfjm |
-- 2014-12-20 614 14.4 131013 xc6slx16-2 1029 1519 104 566 p 9.2 ic2/100
|
36 |
18 |
wfjm |
--
|
37 |
|
|
-- Revision History:
|
38 |
|
|
-- Date Rev Version Comment
|
39 |
27 |
wfjm |
-- 2014-08-15 583 1.2 rb_mreq addr now 16 bit
|
40 |
22 |
wfjm |
-- 2013-10-06 538 1.1 pll support, use clksys_vcodivide ect
|
41 |
18 |
wfjm |
-- 2012-12-29 466 1.0 Initial version; derived from sys_tst_rlink_cuff_n2
|
42 |
|
|
-- and sys_tst_fx2loop_n3
|
43 |
|
|
------------------------------------------------------------------------------
|
44 |
|
|
-- Usage of Nexys 3 Switches, Buttons, LEDs:
|
45 |
|
|
--
|
46 |
|
|
-- SWI(7:3) no function (only connected to sn_humanio_rbus)
|
47 |
|
|
-- (2) 0 -> int/ext RS242 port for rlink
|
48 |
|
|
-- 1 -> use USB interface for rlink
|
49 |
|
|
-- (1) 1 enable XON
|
50 |
|
|
-- (0) 0 -> main board RS232 port - implemented in bp_rs232_2l4l_iob
|
51 |
|
|
-- 1 -> Pmod B/top RS232 port /
|
52 |
|
|
--
|
53 |
|
|
-- LED(7) SER_MONI.abact
|
54 |
|
|
-- (6:2) no function (only connected to sn_humanio_rbus)
|
55 |
|
|
-- (0) timer 0 busy
|
56 |
|
|
-- (1) timer 1 busy
|
57 |
|
|
--
|
58 |
|
|
-- DSP: SER_MONI.clkdiv (from auto bauder)
|
59 |
|
|
-- for SWI(2)='0' (serport)
|
60 |
|
|
-- DP(3) not SER_MONI.txok (shows tx back preasure)
|
61 |
|
|
-- (2) SER_MONI.txact (shows tx activity)
|
62 |
|
|
-- (1) not SER_MONI.rxok (shows rx back preasure)
|
63 |
|
|
-- (0) SER_MONI.rxact (shows rx activity)
|
64 |
|
|
-- for SWI(2)='1' (fx2)
|
65 |
|
|
-- DP(3) FX2_TX2BUSY (shows tx2 back preasure)
|
66 |
|
|
-- (2) FX2_TX2ENA(stretched) (shows tx2 activity)
|
67 |
|
|
-- (1) FX2_TXENA(streched) (shows tx activity)
|
68 |
|
|
-- (0) FX2_RXVAL(stretched) (shows rx activity)
|
69 |
|
|
--
|
70 |
|
|
|
71 |
|
|
library ieee;
|
72 |
|
|
use ieee.std_logic_1164.all;
|
73 |
|
|
use ieee.numeric_std.all;
|
74 |
|
|
|
75 |
|
|
use work.slvtypes.all;
|
76 |
|
|
use work.xlib.all;
|
77 |
|
|
use work.genlib.all;
|
78 |
|
|
use work.bpgenlib.all;
|
79 |
19 |
wfjm |
use work.bpgenrbuslib.all;
|
80 |
18 |
wfjm |
use work.rblib.all;
|
81 |
|
|
use work.fx2lib.all;
|
82 |
|
|
use work.nxcramlib.all;
|
83 |
|
|
use work.sys_conf.all;
|
84 |
|
|
|
85 |
|
|
-- ----------------------------------------------------------------------------
|
86 |
|
|
|
87 |
|
|
entity sys_tst_rlink_cuff_n3 is -- top level
|
88 |
|
|
-- implements nexys3_fusp_cuff_aif
|
89 |
|
|
port (
|
90 |
|
|
I_CLK100 : in slbit; -- 100 MHz clock
|
91 |
|
|
I_RXD : in slbit; -- receive data (board view)
|
92 |
|
|
O_TXD : out slbit; -- transmit data (board view)
|
93 |
|
|
I_SWI : in slv8; -- n3 switches
|
94 |
|
|
I_BTN : in slv5; -- n3 buttons
|
95 |
|
|
O_LED : out slv8; -- n3 leds
|
96 |
|
|
O_ANO_N : out slv4; -- 7 segment disp: anodes (act.low)
|
97 |
|
|
O_SEG_N : out slv8; -- 7 segment disp: segments (act.low)
|
98 |
|
|
O_MEM_CE_N : out slbit; -- cram: chip enable (act.low)
|
99 |
|
|
O_MEM_BE_N : out slv2; -- cram: byte enables (act.low)
|
100 |
|
|
O_MEM_WE_N : out slbit; -- cram: write enable (act.low)
|
101 |
|
|
O_MEM_OE_N : out slbit; -- cram: output enable (act.low)
|
102 |
|
|
O_MEM_ADV_N : out slbit; -- cram: address valid (act.low)
|
103 |
|
|
O_MEM_CLK : out slbit; -- cram: clock
|
104 |
|
|
O_MEM_CRE : out slbit; -- cram: command register enable
|
105 |
|
|
I_MEM_WAIT : in slbit; -- cram: mem wait
|
106 |
|
|
O_MEM_ADDR : out slv23; -- cram: address lines
|
107 |
|
|
IO_MEM_DATA : inout slv16; -- cram: data lines
|
108 |
|
|
O_PPCM_CE_N : out slbit; -- ppcm: ...
|
109 |
|
|
O_PPCM_RST_N : out slbit; -- ppcm: ...
|
110 |
|
|
O_FUSP_RTS_N : out slbit; -- fusp: rs232 rts_n
|
111 |
|
|
I_FUSP_CTS_N : in slbit; -- fusp: rs232 cts_n
|
112 |
|
|
I_FUSP_RXD : in slbit; -- fusp: rs232 rx
|
113 |
|
|
O_FUSP_TXD : out slbit; -- fusp: rs232 tx
|
114 |
|
|
I_FX2_IFCLK : in slbit; -- fx2: interface clock
|
115 |
|
|
O_FX2_FIFO : out slv2; -- fx2: fifo address
|
116 |
|
|
I_FX2_FLAG : in slv4; -- fx2: fifo flags
|
117 |
|
|
O_FX2_SLRD_N : out slbit; -- fx2: read enable (act.low)
|
118 |
|
|
O_FX2_SLWR_N : out slbit; -- fx2: write enable (act.low)
|
119 |
|
|
O_FX2_SLOE_N : out slbit; -- fx2: output enable (act.low)
|
120 |
|
|
O_FX2_PKTEND_N : out slbit; -- fx2: packet end (act.low)
|
121 |
|
|
IO_FX2_DATA : inout slv8 -- fx2: data lines
|
122 |
|
|
);
|
123 |
|
|
end sys_tst_rlink_cuff_n3;
|
124 |
|
|
|
125 |
|
|
architecture syn of sys_tst_rlink_cuff_n3 is
|
126 |
|
|
|
127 |
|
|
signal CLK : slbit := '0';
|
128 |
|
|
signal RESET : slbit := '0';
|
129 |
|
|
|
130 |
|
|
signal CE_USEC : slbit := '0';
|
131 |
|
|
signal CE_MSEC : slbit := '0';
|
132 |
|
|
|
133 |
|
|
signal RXSD : slbit := '0';
|
134 |
|
|
signal TXSD : slbit := '0';
|
135 |
|
|
signal CTS_N : slbit := '0';
|
136 |
|
|
signal RTS_N : slbit := '0';
|
137 |
|
|
|
138 |
|
|
signal SWI : slv8 := (others=>'0');
|
139 |
|
|
signal BTN : slv5 := (others=>'0');
|
140 |
|
|
signal LED : slv8 := (others=>'0');
|
141 |
|
|
signal DSP_DAT : slv16 := (others=>'0');
|
142 |
|
|
signal DSP_DP : slv4 := (others=>'0');
|
143 |
|
|
|
144 |
|
|
signal RB_MREQ : rb_mreq_type := rb_mreq_init;
|
145 |
|
|
signal RB_SRES_HIO : rb_sres_type := rb_sres_init;
|
146 |
|
|
|
147 |
|
|
signal FX2_RXDATA : slv8 := (others=>'0');
|
148 |
|
|
signal FX2_RXVAL : slbit := '0';
|
149 |
|
|
signal FX2_RXHOLD : slbit := '0';
|
150 |
|
|
signal FX2_RXAEMPTY : slbit := '0';
|
151 |
|
|
signal FX2_TXDATA : slv8 := (others=>'0');
|
152 |
|
|
signal FX2_TXENA : slbit := '0';
|
153 |
|
|
signal FX2_TXBUSY : slbit := '0';
|
154 |
|
|
signal FX2_TXAFULL : slbit := '0';
|
155 |
|
|
signal FX2_TX2DATA : slv8 := (others=>'0');
|
156 |
|
|
signal FX2_TX2ENA : slbit := '0';
|
157 |
|
|
signal FX2_TX2BUSY : slbit := '0';
|
158 |
|
|
signal FX2_TX2AFULL : slbit := '0';
|
159 |
|
|
signal FX2_MONI : fx2ctl_moni_type := fx2ctl_moni_init;
|
160 |
|
|
|
161 |
27 |
wfjm |
constant rbaddr_hio : slv16 := "0000000011000000"; -- 110000xx
|
162 |
18 |
wfjm |
|
163 |
|
|
begin
|
164 |
|
|
|
165 |
|
|
assert (sys_conf_clksys mod 1000000) = 0
|
166 |
|
|
report "assert sys_conf_clksys on MHz grid"
|
167 |
|
|
severity failure;
|
168 |
|
|
|
169 |
22 |
wfjm |
GEN_CLKSYS : s6_cmt_sfs
|
170 |
18 |
wfjm |
generic map (
|
171 |
22 |
wfjm |
VCO_DIVIDE => sys_conf_clksys_vcodivide,
|
172 |
|
|
VCO_MULTIPLY => sys_conf_clksys_vcomultiply,
|
173 |
|
|
OUT_DIVIDE => sys_conf_clksys_outdivide,
|
174 |
|
|
CLKIN_PERIOD => 10.0,
|
175 |
|
|
CLKIN_JITTER => 0.01,
|
176 |
|
|
STARTUP_WAIT => false,
|
177 |
|
|
GEN_TYPE => sys_conf_clksys_gentype)
|
178 |
18 |
wfjm |
port map (
|
179 |
|
|
CLKIN => I_CLK100,
|
180 |
|
|
CLKFX => CLK,
|
181 |
|
|
LOCKED => open
|
182 |
|
|
);
|
183 |
|
|
|
184 |
|
|
CLKDIV : clkdivce
|
185 |
|
|
generic map (
|
186 |
|
|
CDUWIDTH => 7, -- good for up to 127 MHz !
|
187 |
|
|
USECDIV => sys_conf_clksys_mhz,
|
188 |
|
|
MSECDIV => 1000)
|
189 |
|
|
port map (
|
190 |
|
|
CLK => CLK,
|
191 |
|
|
CE_USEC => CE_USEC,
|
192 |
|
|
CE_MSEC => CE_MSEC
|
193 |
|
|
);
|
194 |
|
|
|
195 |
|
|
IOB_RS232 : bp_rs232_2l4l_iob
|
196 |
|
|
port map (
|
197 |
|
|
CLK => CLK,
|
198 |
|
|
RESET => '0',
|
199 |
|
|
SEL => SWI(0),
|
200 |
|
|
RXD => RXSD,
|
201 |
|
|
TXD => TXSD,
|
202 |
|
|
CTS_N => CTS_N,
|
203 |
|
|
RTS_N => RTS_N,
|
204 |
|
|
I_RXD0 => I_RXD,
|
205 |
|
|
O_TXD0 => O_TXD,
|
206 |
|
|
I_RXD1 => I_FUSP_RXD,
|
207 |
|
|
O_TXD1 => O_FUSP_TXD,
|
208 |
|
|
I_CTS1_N => I_FUSP_CTS_N,
|
209 |
|
|
O_RTS1_N => O_FUSP_RTS_N
|
210 |
|
|
);
|
211 |
|
|
|
212 |
|
|
HIO : sn_humanio_rbus
|
213 |
|
|
generic map (
|
214 |
|
|
BWIDTH => 5,
|
215 |
|
|
DEBOUNCE => sys_conf_hio_debounce,
|
216 |
|
|
RB_ADDR => rbaddr_hio)
|
217 |
|
|
port map (
|
218 |
|
|
CLK => CLK,
|
219 |
|
|
RESET => RESET,
|
220 |
|
|
CE_MSEC => CE_MSEC,
|
221 |
|
|
RB_MREQ => RB_MREQ,
|
222 |
|
|
RB_SRES => RB_SRES_HIO,
|
223 |
|
|
SWI => SWI,
|
224 |
|
|
BTN => BTN,
|
225 |
|
|
LED => LED,
|
226 |
|
|
DSP_DAT => DSP_DAT,
|
227 |
|
|
DSP_DP => DSP_DP,
|
228 |
|
|
I_SWI => I_SWI,
|
229 |
|
|
I_BTN => I_BTN,
|
230 |
|
|
O_LED => O_LED,
|
231 |
|
|
O_ANO_N => O_ANO_N,
|
232 |
|
|
O_SEG_N => O_SEG_N
|
233 |
|
|
);
|
234 |
|
|
|
235 |
|
|
FX2_CNTL_AS : if sys_conf_fx2_type = "as2" generate
|
236 |
|
|
CNTL : fx2_2fifoctl_as
|
237 |
|
|
generic map (
|
238 |
|
|
RXFAWIDTH => 5,
|
239 |
|
|
TXFAWIDTH => 5,
|
240 |
|
|
CCWIDTH => sys_conf_fx2_ccwidth,
|
241 |
|
|
RXAEMPTY_THRES => 1,
|
242 |
|
|
TXAFULL_THRES => 1,
|
243 |
|
|
PETOWIDTH => sys_conf_fx2_petowidth,
|
244 |
|
|
RDPWLDELAY => sys_conf_fx2_rdpwldelay,
|
245 |
|
|
RDPWHDELAY => sys_conf_fx2_rdpwhdelay,
|
246 |
|
|
WRPWLDELAY => sys_conf_fx2_wrpwldelay,
|
247 |
|
|
WRPWHDELAY => sys_conf_fx2_wrpwhdelay,
|
248 |
|
|
FLAGDELAY => sys_conf_fx2_flagdelay)
|
249 |
|
|
port map (
|
250 |
|
|
CLK => CLK,
|
251 |
|
|
CE_USEC => CE_USEC,
|
252 |
|
|
RESET => RESET,
|
253 |
|
|
RXDATA => FX2_RXDATA,
|
254 |
|
|
RXVAL => FX2_RXVAL,
|
255 |
|
|
RXHOLD => FX2_RXHOLD,
|
256 |
|
|
RXAEMPTY => FX2_RXAEMPTY,
|
257 |
|
|
TXDATA => FX2_TXDATA,
|
258 |
|
|
TXENA => FX2_TXENA,
|
259 |
|
|
TXBUSY => FX2_TXBUSY,
|
260 |
|
|
TXAFULL => FX2_TXAFULL,
|
261 |
|
|
MONI => FX2_MONI,
|
262 |
|
|
I_FX2_IFCLK => I_FX2_IFCLK,
|
263 |
|
|
O_FX2_FIFO => O_FX2_FIFO,
|
264 |
|
|
I_FX2_FLAG => I_FX2_FLAG,
|
265 |
|
|
O_FX2_SLRD_N => O_FX2_SLRD_N,
|
266 |
|
|
O_FX2_SLWR_N => O_FX2_SLWR_N,
|
267 |
|
|
O_FX2_SLOE_N => O_FX2_SLOE_N,
|
268 |
|
|
O_FX2_PKTEND_N => O_FX2_PKTEND_N,
|
269 |
|
|
IO_FX2_DATA => IO_FX2_DATA
|
270 |
|
|
);
|
271 |
|
|
end generate FX2_CNTL_AS;
|
272 |
|
|
|
273 |
|
|
FX2_CNTL_IC : if sys_conf_fx2_type = "ic2" generate
|
274 |
|
|
CNTL : fx2_2fifoctl_ic
|
275 |
|
|
generic map (
|
276 |
|
|
RXFAWIDTH => 5,
|
277 |
|
|
TXFAWIDTH => 5,
|
278 |
|
|
PETOWIDTH => sys_conf_fx2_petowidth,
|
279 |
|
|
CCWIDTH => sys_conf_fx2_ccwidth,
|
280 |
|
|
RXAEMPTY_THRES => 1,
|
281 |
|
|
TXAFULL_THRES => 1)
|
282 |
|
|
port map (
|
283 |
|
|
CLK => CLK,
|
284 |
|
|
RESET => RESET,
|
285 |
|
|
RXDATA => FX2_RXDATA,
|
286 |
|
|
RXVAL => FX2_RXVAL,
|
287 |
|
|
RXHOLD => FX2_RXHOLD,
|
288 |
|
|
RXAEMPTY => FX2_RXAEMPTY,
|
289 |
|
|
TXDATA => FX2_TXDATA,
|
290 |
|
|
TXENA => FX2_TXENA,
|
291 |
|
|
TXBUSY => FX2_TXBUSY,
|
292 |
|
|
TXAFULL => FX2_TXAFULL,
|
293 |
|
|
MONI => FX2_MONI,
|
294 |
|
|
I_FX2_IFCLK => I_FX2_IFCLK,
|
295 |
|
|
O_FX2_FIFO => O_FX2_FIFO,
|
296 |
|
|
I_FX2_FLAG => I_FX2_FLAG,
|
297 |
|
|
O_FX2_SLRD_N => O_FX2_SLRD_N,
|
298 |
|
|
O_FX2_SLWR_N => O_FX2_SLWR_N,
|
299 |
|
|
O_FX2_SLOE_N => O_FX2_SLOE_N,
|
300 |
|
|
O_FX2_PKTEND_N => O_FX2_PKTEND_N,
|
301 |
|
|
IO_FX2_DATA => IO_FX2_DATA
|
302 |
|
|
);
|
303 |
|
|
end generate FX2_CNTL_IC;
|
304 |
|
|
|
305 |
|
|
FX2_CNTL_IC3 : if sys_conf_fx2_type = "ic3" generate
|
306 |
|
|
CNTL : fx2_3fifoctl_ic
|
307 |
|
|
generic map (
|
308 |
|
|
RXFAWIDTH => 5,
|
309 |
|
|
TXFAWIDTH => 5,
|
310 |
|
|
PETOWIDTH => sys_conf_fx2_petowidth,
|
311 |
|
|
CCWIDTH => sys_conf_fx2_ccwidth,
|
312 |
|
|
RXAEMPTY_THRES => 1,
|
313 |
|
|
TXAFULL_THRES => 1,
|
314 |
|
|
TX2AFULL_THRES => 1)
|
315 |
|
|
port map (
|
316 |
|
|
CLK => CLK,
|
317 |
|
|
RESET => RESET,
|
318 |
|
|
RXDATA => FX2_RXDATA,
|
319 |
|
|
RXVAL => FX2_RXVAL,
|
320 |
|
|
RXHOLD => FX2_RXHOLD,
|
321 |
|
|
RXAEMPTY => FX2_RXAEMPTY,
|
322 |
|
|
TXDATA => FX2_TXDATA,
|
323 |
|
|
TXENA => FX2_TXENA,
|
324 |
|
|
TXBUSY => FX2_TXBUSY,
|
325 |
|
|
TXAFULL => FX2_TXAFULL,
|
326 |
|
|
TX2DATA => FX2_TX2DATA,
|
327 |
|
|
TX2ENA => FX2_TX2ENA,
|
328 |
|
|
TX2BUSY => FX2_TX2BUSY,
|
329 |
|
|
TX2AFULL => FX2_TX2AFULL,
|
330 |
|
|
MONI => FX2_MONI,
|
331 |
|
|
I_FX2_IFCLK => I_FX2_IFCLK,
|
332 |
|
|
O_FX2_FIFO => O_FX2_FIFO,
|
333 |
|
|
I_FX2_FLAG => I_FX2_FLAG,
|
334 |
|
|
O_FX2_SLRD_N => O_FX2_SLRD_N,
|
335 |
|
|
O_FX2_SLWR_N => O_FX2_SLWR_N,
|
336 |
|
|
O_FX2_SLOE_N => O_FX2_SLOE_N,
|
337 |
|
|
O_FX2_PKTEND_N => O_FX2_PKTEND_N,
|
338 |
|
|
IO_FX2_DATA => IO_FX2_DATA
|
339 |
|
|
);
|
340 |
|
|
end generate FX2_CNTL_IC3;
|
341 |
|
|
|
342 |
|
|
TST : entity work.tst_rlink_cuff
|
343 |
|
|
port map (
|
344 |
|
|
CLK => CLK,
|
345 |
|
|
RESET => '0',
|
346 |
|
|
CE_USEC => CE_USEC,
|
347 |
|
|
CE_MSEC => CE_MSEC,
|
348 |
|
|
RB_MREQ_TOP => RB_MREQ,
|
349 |
|
|
RB_SRES_TOP => RB_SRES_HIO,
|
350 |
|
|
SWI => SWI,
|
351 |
|
|
BTN => BTN(3 downto 0),
|
352 |
|
|
LED => LED,
|
353 |
|
|
DSP_DAT => DSP_DAT,
|
354 |
|
|
DSP_DP => DSP_DP,
|
355 |
|
|
RXSD => RXSD,
|
356 |
|
|
TXSD => TXSD,
|
357 |
|
|
RTS_N => RTS_N,
|
358 |
|
|
CTS_N => CTS_N,
|
359 |
|
|
FX2_RXDATA => FX2_RXDATA,
|
360 |
|
|
FX2_RXVAL => FX2_RXVAL,
|
361 |
|
|
FX2_RXHOLD => FX2_RXHOLD,
|
362 |
|
|
FX2_TXDATA => FX2_TXDATA,
|
363 |
|
|
FX2_TXENA => FX2_TXENA,
|
364 |
|
|
FX2_TXBUSY => FX2_TXBUSY,
|
365 |
|
|
FX2_TX2DATA => FX2_TX2DATA,
|
366 |
|
|
FX2_TX2ENA => FX2_TX2ENA,
|
367 |
|
|
FX2_TX2BUSY => FX2_TX2BUSY,
|
368 |
|
|
FX2_MONI => FX2_MONI
|
369 |
|
|
);
|
370 |
|
|
|
371 |
|
|
SRAM_PROT : nx_cram_dummy -- connect CRAM to protection dummy
|
372 |
|
|
port map (
|
373 |
|
|
O_MEM_CE_N => O_MEM_CE_N,
|
374 |
|
|
O_MEM_BE_N => O_MEM_BE_N,
|
375 |
|
|
O_MEM_WE_N => O_MEM_WE_N,
|
376 |
|
|
O_MEM_OE_N => O_MEM_OE_N,
|
377 |
|
|
O_MEM_ADV_N => O_MEM_ADV_N,
|
378 |
|
|
O_MEM_CLK => O_MEM_CLK,
|
379 |
|
|
O_MEM_CRE => O_MEM_CRE,
|
380 |
|
|
I_MEM_WAIT => I_MEM_WAIT,
|
381 |
|
|
O_MEM_ADDR => O_MEM_ADDR,
|
382 |
|
|
IO_MEM_DATA => IO_MEM_DATA
|
383 |
|
|
);
|
384 |
|
|
|
385 |
|
|
O_PPCM_CE_N <= '1'; -- keep parallel PCM memory disabled
|
386 |
|
|
O_PPCM_RST_N <= '1'; --
|
387 |
|
|
|
388 |
|
|
end syn;
|
389 |
|
|
|