OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [sys_gen/] [tst_sram/] [nexys3/] [sys_tst_sram_n3.vhd] - Blame information for rev 38

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 37 wfjm
-- $Id: sys_tst_sram_n3.vhd 791 2016-07-21 22:01:10Z mueller $
2
--
3
-- Copyright 2011-2016 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    sys_tst_sram_n3 - syn
16
-- Description:    test of nexys3 sram and its controller
17
--
18
-- Dependencies:   vlib/xlib/s6_cmt_sfs
19
--                 vlib/genlib/clkdivce
20
--                 bplib/bpgen/bp_rs232_2l4l_iob
21
--                 bplib/bpgen/sn_humanio
22
--                 vlib/rlink/rlink_sp1c
23
--                 tst_sram
24
--                 bplib/nxcramlib/nx_cram_memctl_as
25
--
26
-- Test bench:     tb/tb_tst_sram_n3
27
--
28
-- Target Devices: generic
29
-- Tool versions:  xst 13.1-14.7; ghdl 0.29-0.33
30
--
31
-- Synthesized (xst):
32
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
33
-- 2014-12-20   614 14.7  131013 xc6slx16-2   922 1574   48  574 t  9.6 ns
34
-- 2014-08-13   581 14.7  131013 xc6slx16-2   765 1261   32  441 t  9.6 ns
35
-- 2011-12-21   442 13.4    O40d xc6slx16-2   722 1367   32  506 t  9.6 ns
36
-- 2011-11-27   433 13.4    O40d xc6slx16-2   699 1194   20  406 t  8.9 ns
37
--
38
-- Revision History: 
39
-- Date         Rev Version  Comment
40
-- 2016-07-10   785   1.5.1  SWI(1) now XON; SWI(0) now portsel
41
-- 2016-07-09   784   1.5    tst_sram with AWIDTH and 22bit support
42
-- 2016-03-19   748   1.4.2  define rlink SYSID
43
-- 2015-04-11   666   1.4.1  rearrange XON handling
44
-- 2014-08-28   588   1.4    use new rlink v4 iface and 4 bit STAT
45
-- 2014-08-15   583   1.3    rb_mreq addr now 16 bit
46
-- 2013-10-06   538   1.2    pll support, use clksys_vcodivide ect
47
-- 2011-12-21   442   1.1.1  use rlink_sp1c
48
-- 2011-12-03   435   1.1    use int&ext serport and bp_rs232_2l4l_iob
49
-- 2011-11-27   433   1.0    Initial version (derived from sys_tst_sram_n2)
50
------------------------------------------------------------------------------
51
 
52
library ieee;
53
use ieee.std_logic_1164.all;
54
use ieee.numeric_std.all;
55
 
56
use work.slvtypes.all;
57
use work.xlib.all;
58
use work.genlib.all;
59
use work.serportlib.all;
60
use work.rblib.all;
61
use work.rlinklib.all;
62
use work.bpgenlib.all;
63
use work.s3boardlib.all;
64
use work.nxcramlib.all;
65
use work.sys_conf.all;
66
 
67
-- ----------------------------------------------------------------------------
68
 
69
entity sys_tst_sram_n3 is               -- top level
70
                                        -- implements nexys3_fusp_aif
71
  port (
72
    I_CLK100 : in slbit;                -- 100 MHz clock
73
    I_RXD : in slbit;                   -- receive data (board view)
74
    O_TXD : out slbit;                  -- transmit data (board view)
75
    I_SWI : in slv8;                    -- n3 switches
76
    I_BTN : in slv5;                    -- n3 buttons
77
    O_LED : out slv8;                   -- n3 leds
78
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
79
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
80
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
81
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
82
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
83
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
84
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
85
    O_MEM_CLK : out slbit;              -- cram: clock
86
    O_MEM_CRE : out slbit;              -- cram: command register enable
87
    I_MEM_WAIT : in slbit;              -- cram: mem wait
88
    O_MEM_ADDR  : out slv23;            -- cram: address lines
89
    IO_MEM_DATA : inout slv16;          -- cram: data lines
90
    O_PPCM_CE_N : out slbit;            -- ppcm: ...
91
    O_PPCM_RST_N : out slbit;           -- ppcm: ...
92
    O_FUSP_RTS_N : out slbit;           -- fusp: rs232 rts_n
93
    I_FUSP_CTS_N : in slbit;            -- fusp: rs232 cts_n
94
    I_FUSP_RXD : in slbit;              -- fusp: rs232 rx
95
    O_FUSP_TXD : out slbit              -- fusp: rs232 tx
96
  );
97
end sys_tst_sram_n3;
98
 
99
architecture syn of sys_tst_sram_n3 is
100
 
101
  signal CLK :   slbit := '0';
102
 
103
  signal CE_USEC :  slbit := '0';
104
  signal CE_MSEC :  slbit := '0';
105
 
106
  signal GBL_RESET : slbit := '0';
107
 
108
  signal RXD :   slbit := '1';
109
  signal TXD :   slbit := '0';
110
  signal CTS_N : slbit := '0';
111
  signal RTS_N : slbit := '0';
112
 
113
  signal SWI     : slv8  := (others=>'0');
114
  signal BTN     : slv5  := (others=>'0');
115
  signal LED     : slv8  := (others=>'0');
116
  signal DSP_DAT : slv16 := (others=>'0');
117
  signal DSP_DP  : slv4  := (others=>'0');
118
 
119
  signal RB_MREQ : rb_mreq_type := rb_mreq_init;
120
  signal RB_SRES : rb_sres_type := rb_sres_init;
121
  signal RB_LAM  : slv16 := (others=>'0');
122
  signal RB_STAT : slv4 := (others=>'0');
123
 
124
  signal SER_MONI : serport_moni_type := serport_moni_init;
125
 
126
  signal RB_SRES_TST : rb_sres_type := rb_sres_init;
127
  signal RB_LAM_TST  : slbit := '0';
128
 
129
  signal MEM_RESET : slbit := '0';
130
  signal MEM_REQ   : slbit := '0';
131
  signal MEM_WE    : slbit := '0';
132
  signal MEM_BUSY  : slbit := '0';
133
  signal MEM_ACK_R : slbit := '0';
134
  signal MEM_ACK_W : slbit := '0';
135
  signal MEM_ACT_R : slbit := '0';
136
  signal MEM_ACT_W : slbit := '0';
137
  signal MEM_ADDR  : slv22 := (others=>'0');
138
  signal MEM_BE    : slv4  := (others=>'0');
139
  signal MEM_DI    : slv32 := (others=>'0');
140
  signal MEM_DO    : slv32 := (others=>'0');
141
 
142
  constant sysid_proj  : slv16 := x"0104";   -- tst_sram
143
  constant sysid_board : slv8  := x"03";     -- nexys3
144
  constant sysid_vers  : slv8  := x"00";
145
 
146
begin
147
 
148
  GEN_CLKSYS : s6_cmt_sfs
149
    generic map (
150
      VCO_DIVIDE     => sys_conf_clksys_vcodivide,
151
      VCO_MULTIPLY   => sys_conf_clksys_vcomultiply,
152
      OUT_DIVIDE     => sys_conf_clksys_outdivide,
153
      CLKIN_PERIOD   => 10.0,
154
      CLKIN_JITTER   => 0.01,
155
      STARTUP_WAIT   => false,
156
      GEN_TYPE       => sys_conf_clksys_gentype)
157
    port map (
158
      CLKIN   => I_CLK100,
159
      CLKFX   => CLK,
160
      LOCKED  => open
161
    );
162
 
163
  CLKDIV : clkdivce
164
    generic map (
165
      CDUWIDTH => 7,                    -- good for up to 127 MHz !
166
      USECDIV  => sys_conf_clksys_mhz,
167
      MSECDIV  => 1000)
168
    port map (
169
      CLK     => CLK,
170
      CE_USEC => CE_USEC,
171
      CE_MSEC => CE_MSEC
172
    );
173
 
174
  IOB_RS232 : bp_rs232_2l4l_iob
175
    port map (
176
      CLK      => CLK,
177
      RESET    => '0',
178
      SEL      => SWI(0),
179
      RXD      => RXD,
180
      TXD      => TXD,
181
      CTS_N    => CTS_N,
182
      RTS_N    => RTS_N,
183
      I_RXD0   => I_RXD,
184
      O_TXD0   => O_TXD,
185
      I_RXD1   => I_FUSP_RXD,
186
      O_TXD1   => O_FUSP_TXD,
187
      I_CTS1_N => I_FUSP_CTS_N,
188
      O_RTS1_N => O_FUSP_RTS_N
189
    );
190
 
191
  HIO : sn_humanio
192
    generic map (
193
      BWIDTH   => 5)
194
    port map (
195
      CLK     => CLK,
196
      RESET   => '0',
197
      CE_MSEC => CE_MSEC,
198
      SWI     => SWI,
199
      BTN     => BTN,
200
      LED     => LED,
201
      DSP_DAT => DSP_DAT,
202
      DSP_DP  => DSP_DP,
203
      I_SWI   => I_SWI,
204
      I_BTN   => I_BTN,
205
      O_LED   => O_LED,
206
      O_ANO_N => O_ANO_N,
207
      O_SEG_N => O_SEG_N
208
    );
209
 
210
  RLINK : rlink_sp1c
211
    generic map (
212
      BTOWIDTH     => 6,                --  64 cycles access timeout
213
      RTAWIDTH     => 12,
214
      SYSID        => sysid_proj & sysid_board & sysid_vers,
215
      IFAWIDTH     => 5,                --  32 word input fifo
216
      OFAWIDTH     => 5,                --  32 word output fifo
217
      ENAPIN_RLMON => sbcntl_sbf_rlmon,
218
      ENAPIN_RBMON => sbcntl_sbf_rbmon,
219
      CDWIDTH      => 13,
220
      CDINIT       => sys_conf_ser2rri_cdinit,
221
      RBMON_AWIDTH => 0,
222
      RBMON_RBADDR => x"ffe8")
223
    port map (
224
      CLK      => CLK,
225
      CE_USEC  => CE_USEC,
226
      CE_MSEC  => CE_MSEC,
227
      CE_INT   => CE_MSEC,
228
      RESET    => GBL_RESET,
229
      ENAXON   => SWI(1),
230
      ESCFILL  => '0',
231
      RXSD     => RXD,
232
      TXSD     => TXD,
233
      CTS_N    => CTS_N,
234
      RTS_N    => RTS_N,
235
      RB_MREQ  => RB_MREQ,
236
      RB_SRES  => RB_SRES,
237
      RB_LAM   => RB_LAM,
238
      RB_STAT  => RB_STAT,
239
      RL_MONI  => open,
240
      SER_MONI => SER_MONI
241
    );
242
 
243
  TST : entity work.tst_sram
244
    generic map (
245
      RB_ADDR => slv(to_unsigned(2#0000000000000000#,16)),
246
      AWIDTH  => 22)
247
    port map (
248
      CLK       => CLK,
249
      RESET     => GBL_RESET,
250
      RB_MREQ   => RB_MREQ,
251
      RB_SRES   => RB_SRES_TST,
252
      RB_STAT   => RB_STAT,
253
      RB_LAM    => RB_LAM_TST,
254
      SWI       => SWI,
255
      BTN       => BTN(3 downto 0),
256
      LED       => LED,
257
      DSP_DAT   => DSP_DAT,
258
      MEM_RESET => MEM_RESET,
259
      MEM_REQ   => MEM_REQ,
260
      MEM_WE    => MEM_WE,
261
      MEM_BUSY  => MEM_BUSY,
262
      MEM_ACK_R => MEM_ACK_R,
263
      MEM_ACK_W => MEM_ACK_W,
264
      MEM_ACT_R => MEM_ACT_R,
265
      MEM_ACT_W => MEM_ACT_W,
266
      MEM_ADDR  => MEM_ADDR,
267
      MEM_BE    => MEM_BE,
268
      MEM_DI    => MEM_DI,
269
      MEM_DO    => MEM_DO
270
    );
271
 
272
  CRAMCTL : nx_cram_memctl_as
273
    generic map (
274
      READ0DELAY => sys_conf_memctl_read0delay,   -- was 2 for 50 MHz
275
      READ1DELAY => sys_conf_memctl_read1delay,   -- was 2 "
276
      WRITEDELAY => sys_conf_memctl_writedelay)   -- was 3 "
277
    port map (
278
      CLK     => CLK,
279
      RESET   => MEM_RESET,
280
      REQ     => MEM_REQ,
281
      WE      => MEM_WE,
282
      BUSY    => MEM_BUSY,
283
      ACK_R   => MEM_ACK_R,
284
      ACK_W   => MEM_ACK_W,
285
      ACT_R   => MEM_ACT_R,
286
      ACT_W   => MEM_ACT_W,
287
      ADDR    => MEM_ADDR,
288
      BE      => MEM_BE,
289
      DI      => MEM_DI,
290
      DO      => MEM_DO,
291
      O_MEM_CE_N  => O_MEM_CE_N,
292
      O_MEM_BE_N  => O_MEM_BE_N,
293
      O_MEM_WE_N  => O_MEM_WE_N,
294
      O_MEM_OE_N  => O_MEM_OE_N,
295
      O_MEM_ADV_N => O_MEM_ADV_N,
296
      O_MEM_CLK   => O_MEM_CLK,
297
      O_MEM_CRE   => O_MEM_CRE,
298
      I_MEM_WAIT  => I_MEM_WAIT,
299
      O_MEM_ADDR  => O_MEM_ADDR,
300
      IO_MEM_DATA => IO_MEM_DATA
301
    );
302
 
303
  O_PPCM_CE_N  <= '1';                  -- keep parallel PCM memory disabled
304
  O_PPCM_RST_N <= '1';                  --
305
 
306
  RB_SRES   <= RB_SRES_TST;             -- can be sres_or later...
307
  RB_LAM(0) <= RB_LAM_TST;
308
 
309
  DSP_DP(3) <= not SER_MONI.txok;
310
  DSP_DP(2) <= SER_MONI.txact;
311
  DSP_DP(1) <= not SER_MONI.rxok;
312
  DSP_DP(0) <= SER_MONI.rxact;
313
 
314
end syn;
315
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.