OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [sys_gen/] [tst_sram/] [nexys4/] [sys_tst_sram_n4.vhd] - Blame information for rev 40

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 37 wfjm
-- $Id: sys_tst_sram_n4.vhd 791 2016-07-21 22:01:10Z mueller $
2
--
3
-- Copyright 2013-2016 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    sys_tst_sram_n4 - syn
16
-- Description:    test of nexys4 sram and its controller
17
--
18
-- Dependencies:   vlib/xlib/s7_cmt_sfs
19
--                 vlib/genlib/clkdivce
20
--                 bplib/bpgen/bp_rs232_4line_iob
21
--                 bplib/bpgen/sn_humanio
22
--                 vlib/rlink/rlink_sp2c
23
--                 tst_sram
24
--                 bplib/nxcramlib/nx_cram_memctl_as
25
--                 vlib/rbus/rbd_usracc
26
--                 vlib/rbus/rb_sres_or_2
27
--
28
-- Test bench:     tb/tb_tst_sram_n4
29
--
30
-- Target Devices: generic
31
-- Tool versions:  ise 14.5-14.7; viv 2014.4-2016.2; ghdl 0.29-0.33
32
--
33
-- Synthesized:
34
-- Date         Rev  viv    Target       flop  lutl  lutm  bram  slic
35
-- 2016-03-29   756 2015.4  xc7a100t-1    918  1207    24     5   428  
36
--
37
-- Revision History: 
38
-- Date         Rev Version  Comment
39
-- 2016-07-10   785   1.5.1  SWI(1) now XON
40
-- 2016-07-09   784   1.5    tst_sram with AWIDTH and 22bit support
41
-- 2016-04-02   758   1.4.1  add rbd_usracc (bitfile+jtag timestamp access)
42
-- 2016-03-28   755   1.4    use serport_2clock2
43
-- 2016-03-19   748   1.3.3  define rlink SYSID
44
-- 2015-04-11   666   1.3.2  rearrange XON handling
45
-- 2015-02-01   641   1.3.1  separate I_BTNRST_N
46
-- 2015-01-31   640   1.3    drop fusp iface; use new sn_hio
47
-- 2014-08-28   588   1.2    use new rlink v4 ifaceand 4 bit STAT
48
-- 2014-08-15   583   1.1    rb_mreq addr now 16 bit
49
-- 2013-09-28   535   1.0.1  use proper clock manager
50
-- 2013-09-21   534   1.0    Initial version (derived from sys_tst_sram_n3)
51
------------------------------------------------------------------------------
52
 
53
library ieee;
54
use ieee.std_logic_1164.all;
55
use ieee.numeric_std.all;
56
 
57
use work.slvtypes.all;
58
use work.xlib.all;
59
use work.genlib.all;
60
use work.serportlib.all;
61
use work.rblib.all;
62
use work.rbdlib.all;
63
use work.rlinklib.all;
64
use work.bpgenlib.all;
65
use work.s3boardlib.all;
66
use work.nxcramlib.all;
67
use work.sys_conf.all;
68
 
69
-- ----------------------------------------------------------------------------
70
 
71
entity sys_tst_sram_n4 is               -- top level
72
                                        -- implements nexys4_cram_aif
73
  port (
74
    I_CLK100 : in slbit;                -- 100 MHz clock
75
    I_RXD : in slbit;                   -- receive data (board view)
76
    O_TXD : out slbit;                  -- transmit data (board view)
77
    O_RTS_N : out slbit;                -- rx rts (board view; act.low)
78
    I_CTS_N : in slbit;                 -- tx cts (board view; act.low)
79
    I_SWI : in slv16;                   -- n4 switches
80
    I_BTN : in slv5;                    -- n4 buttons
81
    I_BTNRST_N : in slbit;              -- n4 reset button
82
    O_LED : out slv16;                  -- n4 leds
83
    O_RGBLED0 : out slv3;               -- n4 rgb-led 0
84
    O_RGBLED1 : out slv3;               -- n4 rgb-led 1
85
    O_ANO_N : out slv8;                 -- 7 segment disp: anodes   (act.low)
86
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
87
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
88
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
89
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
90
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
91
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
92
    O_MEM_CLK : out slbit;              -- cram: clock
93
    O_MEM_CRE : out slbit;              -- cram: command register enable
94
    I_MEM_WAIT : in slbit;              -- cram: mem wait
95
    O_MEM_ADDR  : out slv23;            -- cram: address lines
96
    IO_MEM_DATA : inout slv16           -- cram: data lines
97
  );
98
end sys_tst_sram_n4;
99
 
100
architecture syn of sys_tst_sram_n4 is
101
 
102
  signal CLK :   slbit := '0';
103
 
104
  signal CE_USEC :  slbit := '0';
105
  signal CE_MSEC :  slbit := '0';
106
 
107
  signal CLKS :  slbit := '0';
108
  signal CES_MSEC : slbit := '0';
109
 
110
  signal GBL_RESET : slbit := '0';
111
 
112
  signal RXD :   slbit := '1';
113
  signal TXD :   slbit := '0';
114
  signal CTS_N : slbit := '0';
115
  signal RTS_N : slbit := '0';
116
 
117
  signal SWI     : slv16 := (others=>'0');
118
  signal BTN     : slv5  := (others=>'0');
119
  signal LED     : slv16 := (others=>'0');
120
  signal DSP_DAT : slv32 := (others=>'0');
121
  signal DSP_DP  : slv8  := (others=>'0');
122
 
123
  signal RB_MREQ : rb_mreq_type := rb_mreq_init;
124
  signal RB_SRES : rb_sres_type := rb_sres_init;
125
  signal RB_LAM  : slv16 := (others=>'0');
126
  signal RB_STAT : slv4 := (others=>'0');
127
 
128
  signal SER_MONI : serport_moni_type := serport_moni_init;
129
 
130
  signal RB_SRES_TST : rb_sres_type := rb_sres_init;
131
  signal RB_SRES_USRACC : rb_sres_type := rb_sres_init;
132
 
133
  signal RB_LAM_TST  : slbit := '0';
134
 
135
  signal MEM_RESET : slbit := '0';
136
  signal MEM_REQ   : slbit := '0';
137
  signal MEM_WE    : slbit := '0';
138
  signal MEM_BUSY  : slbit := '0';
139
  signal MEM_ACK_R : slbit := '0';
140
  signal MEM_ACK_W : slbit := '0';
141
  signal MEM_ACT_R : slbit := '0';
142
  signal MEM_ACT_W : slbit := '0';
143
  signal MEM_ADDR  : slv22 := (others=>'0');
144
  signal MEM_BE    : slv4  := (others=>'0');
145
  signal MEM_DI    : slv32 := (others=>'0');
146
  signal MEM_DO    : slv32 := (others=>'0');
147
 
148
  constant sysid_proj  : slv16 := x"0104";   -- tst_sram
149
  constant sysid_board : slv8  := x"05";     -- nexys4
150
  constant sysid_vers  : slv8  := x"00";
151
 
152
begin
153
 
154
  GEN_CLKSYS : s7_cmt_sfs               -- clock generator system ------------
155
    generic map (
156
      VCO_DIVIDE     => sys_conf_clksys_vcodivide,
157
      VCO_MULTIPLY   => sys_conf_clksys_vcomultiply,
158
      OUT_DIVIDE     => sys_conf_clksys_outdivide,
159
      CLKIN_PERIOD   => 10.0,
160
      CLKIN_JITTER   => 0.01,
161
      STARTUP_WAIT   => false,
162
      GEN_TYPE       => sys_conf_clksys_gentype)
163
    port map (
164
      CLKIN   => I_CLK100,
165
      CLKFX   => CLK,
166
      LOCKED  => open
167
    );
168
 
169
  CLKDIV_CLK : clkdivce                 -- usec/msec clock divider system ----
170
    generic map (
171
      CDUWIDTH => 7,                    -- good for up to 127 MHz !
172
      USECDIV  => sys_conf_clksys_mhz,
173
      MSECDIV  => 1000)
174
    port map (
175
      CLK     => CLK,
176
      CE_USEC => CE_USEC,
177
      CE_MSEC => CE_MSEC
178
    );
179
 
180
  GEN_CLKSER : s7_cmt_sfs               -- clock generator serport------------
181
    generic map (
182
      VCO_DIVIDE     => sys_conf_clkser_vcodivide,
183
      VCO_MULTIPLY   => sys_conf_clkser_vcomultiply,
184
      OUT_DIVIDE     => sys_conf_clkser_outdivide,
185
      CLKIN_PERIOD   => 10.0,
186
      CLKIN_JITTER   => 0.01,
187
      STARTUP_WAIT   => false,
188
      GEN_TYPE       => sys_conf_clkser_gentype)
189
    port map (
190
      CLKIN   => I_CLK100,
191
      CLKFX   => CLKS,
192
      LOCKED  => open
193
    );
194
 
195
  CLKDIV_CLKS : clkdivce                -- usec/msec clock divider serport ---
196
    generic map (
197
      CDUWIDTH => 7,
198
      USECDIV  => sys_conf_clkser_mhz,
199
      MSECDIV  => 1000)
200
    port map (
201
      CLK     => CLKS,
202
      CE_USEC => open,
203
      CE_MSEC => CES_MSEC
204
    );
205
 
206
  IOB_RS232 : bp_rs232_4line_iob
207
    port map (
208
      CLK     => CLKS,
209
      RXD     => RXD,
210
      TXD     => TXD,
211
      CTS_N   => CTS_N,
212
      RTS_N   => RTS_N,
213
      I_RXD   => I_RXD,
214
      O_TXD   => O_TXD,
215
      I_CTS_N => I_CTS_N,
216
      O_RTS_N => O_RTS_N
217
    );
218
 
219
  HIO : sn_humanio
220
    generic map (
221
      SWIDTH   => 16,
222
      BWIDTH   =>  5,
223
      LWIDTH   => 16,
224
      DCWIDTH  =>  3)
225
    port map (
226
      CLK     => CLK,
227
      RESET   => '0',
228
      CE_MSEC => CE_MSEC,
229
      SWI     => SWI,
230
      BTN     => BTN,
231
      LED     => LED,
232
      DSP_DAT => DSP_DAT,
233
      DSP_DP  => DSP_DP,
234
      I_SWI   => I_SWI,
235
      I_BTN   => I_BTN,
236
      O_LED   => O_LED,
237
      O_ANO_N => O_ANO_N,
238
      O_SEG_N => O_SEG_N
239
    );
240
 
241
  RLINK : rlink_sp2c
242
    generic map (
243
      BTOWIDTH     => 6,                --  64 cycles access timeout
244
      RTAWIDTH     => 12,
245
      SYSID        => sysid_proj & sysid_board & sysid_vers,
246
      IFAWIDTH     => 5,                --  32 word input fifo
247
      OFAWIDTH     => 5,                --  32 word output fifo
248
      ENAPIN_RLMON => sbcntl_sbf_rlmon,
249
      ENAPIN_RBMON => sbcntl_sbf_rbmon,
250
      CDWIDTH      => 12,
251
      CDINIT       => sys_conf_ser2rri_cdinit,
252
      RBMON_AWIDTH => 0,
253
      RBMON_RBADDR => x"ffe8")
254
    port map (
255
      CLK      => CLK,
256
      CE_USEC  => CE_USEC,
257
      CE_MSEC  => CE_MSEC,
258
      CE_INT   => CE_MSEC,
259
      RESET    => GBL_RESET,
260
      CLKS     => CLKS,
261
      CES_MSEC => CES_MSEC,
262
      ENAXON   => SWI(1),
263
      ESCFILL  => '0',
264
      RXSD     => RXD,
265
      TXSD     => TXD,
266
      CTS_N    => CTS_N,
267
      RTS_N    => RTS_N,
268
      RB_MREQ  => RB_MREQ,
269
      RB_SRES  => RB_SRES,
270
      RB_LAM   => RB_LAM,
271
      RB_STAT  => RB_STAT,
272
      RL_MONI  => open,
273
      SER_MONI => SER_MONI
274
    );
275
 
276
  TST : entity work.tst_sram
277
    generic map (
278
      RB_ADDR => slv(to_unsigned(2#0000000000000000#,16)),
279
      AWIDTH  => 22)
280
    port map (
281
      CLK       => CLK,
282
      RESET     => GBL_RESET,
283
      RB_MREQ   => RB_MREQ,
284
      RB_SRES   => RB_SRES_TST,
285
      RB_STAT   => RB_STAT,
286
      RB_LAM    => RB_LAM_TST,
287
      SWI       => SWI(7 downto 0),
288
      BTN       => BTN(3 downto 0),
289
      LED       => LED(7 downto 0),
290
      DSP_DAT   => DSP_DAT(15 downto 0),
291
      MEM_RESET => MEM_RESET,
292
      MEM_REQ   => MEM_REQ,
293
      MEM_WE    => MEM_WE,
294
      MEM_BUSY  => MEM_BUSY,
295
      MEM_ACK_R => MEM_ACK_R,
296
      MEM_ACK_W => MEM_ACK_W,
297
      MEM_ACT_R => MEM_ACT_R,
298
      MEM_ACT_W => MEM_ACT_W,
299
      MEM_ADDR  => MEM_ADDR,
300
      MEM_BE    => MEM_BE,
301
      MEM_DI    => MEM_DI,
302
      MEM_DO    => MEM_DO
303
    );
304
 
305
  CRAMCTL : nx_cram_memctl_as
306
    generic map (
307
      READ0DELAY => sys_conf_memctl_read0delay,
308
      READ1DELAY => sys_conf_memctl_read1delay,
309
      WRITEDELAY => sys_conf_memctl_writedelay)
310
    port map (
311
      CLK     => CLK,
312
      RESET   => MEM_RESET,
313
      REQ     => MEM_REQ,
314
      WE      => MEM_WE,
315
      BUSY    => MEM_BUSY,
316
      ACK_R   => MEM_ACK_R,
317
      ACK_W   => MEM_ACK_W,
318
      ACT_R   => MEM_ACT_R,
319
      ACT_W   => MEM_ACT_W,
320
      ADDR    => MEM_ADDR,
321
      BE      => MEM_BE,
322
      DI      => MEM_DI,
323
      DO      => MEM_DO,
324
      O_MEM_CE_N  => O_MEM_CE_N,
325
      O_MEM_BE_N  => O_MEM_BE_N,
326
      O_MEM_WE_N  => O_MEM_WE_N,
327
      O_MEM_OE_N  => O_MEM_OE_N,
328
      O_MEM_ADV_N => O_MEM_ADV_N,
329
      O_MEM_CLK   => O_MEM_CLK,
330
      O_MEM_CRE   => O_MEM_CRE,
331
      I_MEM_WAIT  => I_MEM_WAIT,
332
      O_MEM_ADDR  => O_MEM_ADDR,
333
      IO_MEM_DATA => IO_MEM_DATA
334
    );
335
 
336
  UARB : rbd_usracc
337
    port map (
338
      CLK     => CLK,
339
      RB_MREQ => RB_MREQ,
340
      RB_SRES => RB_SRES_USRACC
341
    );
342
 
343
  RB_SRES_OR : rb_sres_or_2             -- rbus or ---------------------------
344
    port map (
345
      RB_SRES_1  => RB_SRES_TST,
346
      RB_SRES_2  => RB_SRES_USRACC,
347
      RB_SRES_OR => RB_SRES
348
    );
349
 
350
  RB_LAM(0) <= RB_LAM_TST;
351
 
352
  DSP_DP(3) <= not SER_MONI.txok;
353
  DSP_DP(2) <= SER_MONI.txact;
354
  DSP_DP(1) <= not SER_MONI.rxok;
355
  DSP_DP(0) <= SER_MONI.rxact;
356
 
357
  DSP_DP(7 downto 4) <= "0010";
358
  DSP_DAT(31 downto 16) <= SER_MONI.abclkdiv(11 downto 0) &
359
                           '0' & SER_MONI.abclkdiv_f;
360
 
361
  -- setup unused outputs in nexys4
362
  O_RGBLED0 <= (others=>'0');
363
  O_RGBLED1 <= (others=>not I_BTNRST_N);
364
 
365
end syn;
366
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.