OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [sys_gen/] [w11a/] [s3board/] [sys_w11a_s3.vhd] - Blame information for rev 16

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 wfjm
-- $Id: sys_w11a_s3.vhd 442 2011-12-23 10:03:28Z mueller $
2 2 wfjm
--
3 12 wfjm
-- Copyright 2007-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    sys_w11a_s3 - syn
16
-- Description:    w11a test design for s3board
17
--
18
-- Dependencies:   vlib/genlib/clkdivce
19 12 wfjm
--                 bplib/bpgen/bp_rs232_2l4l_iob
20
--                 bplib/bpgen/sn_humanio
21 16 wfjm
--                 vlib/rlink/rlink_sp1c
22 9 wfjm
--                 vlib/rbus/rb_sres_or_2
23
--                 w11a/pdp11_core_rbus
24 2 wfjm
--                 w11a/pdp11_core
25
--                 w11a/pdp11_bram
26
--                 vlib/s3board/s3_sram_dummy
27
--                 w11a/pdp11_cache
28
--                 w11a/pdp11_mem70
29
--                 bplib/s3board/s3_sram_memctl
30
--                 ibus/ib_sres_or_2
31
--                 ibus/ibdr_minisys
32
--                 ibus/ibdr_maxisys
33
--                 w11a/pdp11_tmu_sb           [sim only]
34
--
35 12 wfjm
-- Test bench:     tb/tb_sys_w11a_s3
36 2 wfjm
--
37
-- Target Devices: generic
38 13 wfjm
-- Tool versions:  xst 8.2, 9.1, 9.2, 10.1, 11.4, 12.1, 13.1; ghdl 0.18-0.29
39 2 wfjm
--
40
-- Synthesized (xst):
41
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
42 16 wfjm
-- 2011-12-21   442 13.1    O40d xc3s1000-4  1301 4307  270 2613 OK: LP+PC+DL+II
43 13 wfjm
-- 2011-11-19   427 13.1    O40d xc3s1000-4  1322 4298  242 2616 OK: LP+PC+DL+II
44 9 wfjm
-- 2010-12-30   351 12.1    M53d xc3s1000-4  1316 4291  242 2609 OK: LP+PC+DL+II
45 8 wfjm
-- 2010-11-06   336 12.1    M53d xc3s1000-4  1284 4253* 242 2575 OK: LP+PC+DL+II
46
-- 2010-10-24   335 12.1    M53d xc3s1000-4  1284 4495  242 2575 OK: LP+PC+DL+II
47 2 wfjm
-- 2010-05-01   285 11.4    L68  xc3s1000-4  1239 4086  224 2471 OK: LP+PC+DL+II
48
-- 2010-04-26   283 11.4    L68  xc3s1000-4  1245 4083  224 2474 OK: LP+PC+DL+II
49
-- 2009-07-12   233 11.2    L46  xc3s1000-4  1245 4078  224 2472 OK: LP+PC+DL+II
50
-- 2009-07-12   233 10.1.03 K39  xc3s1000-4  1250 4097  224 2494 OK: LP+PC+DL+II
51
-- 2009-06-01   221 10.1.03 K39  xc3s1000-4  1209 3986  224 2425 OK: LP+PC+DL+II
52
-- 2009-05-17   216 10.1.03 K39  xc3s1000-4  1039 3542  224 2116 m+p; TIME OK
53
-- 2009-05-09   213 10.1.03 K39  xc3s1000-4  1037 3500  224 2100 m+p; TIME OK
54
-- 2009-04-26   209  8.2.03 I34  xc3s1000-4  1099 3557  224 2264 m+p; TIME OK
55
-- 2008-12-13   176  8.2.03 I34  xc3s1000-4  1116 3672  224 2280 m+p; TIME OK
56
-- 2008-12-06   174 10.1.02 K37  xc3s1000-4  1038 3503  224 2100 m+p; TIME OK
57
-- 2008-12-06   174  8.2.03 I34  xc3s1000-4  1116 3682  224 2281 m+p; TIME OK
58
-- 2008-08-22   161  8.2.03 I34  xc3s1000-4  1118 3677  224 2288 m+p; TIME OK
59
-- 2008-08-22   161 10.1.02 K37  xc3s1000-4  1035 3488  224 2086 m+p; TIME OK
60
-- 2008-05-01   140  8.2.03 I34  xc3s1000-4  1057 3344  224 2119 m+p; 21ns;BR-32
61
-- 2008-05-01   140  8.2.03 I34  xc3s1000-4  1057 3357  224 2128 m+p; 21ns;BR-16
62
-- 2008-05-01   140  8.2.03 I34  xc3s1000-4  1057 3509  224 2220 m+p; TIME OK
63
-- 2008-05-01   140  9.2.04 J40  xc3s200-4   1009 3195  224 1918 m+p; T-OK;BR-16
64
-- 2008-03-19   127  8.2.03 I34  xc3s1000-4  1077 3471  224 2207 m+p; TIME OK
65
-- 2008-03-02   122  8.2.03 I34  xc3s1000-4  1068 3448  224 2179 m+p; TIME OK
66
-- 2008-03-02   121  8.2.03 I34  xc3s1000-4  1064 3418  224 2148 m+p; TIME FAIL
67
-- 2008-02-24   119  8.2.03 I34  xc3s1000-4  1071 3372  224 2141 m+p; TIME OK
68
-- 2008-02-23   118  8.2.03 I34  xc3s1000-4  1035 3301  182 1996 m+p; TIME OK
69
-- 2008-01-06   111  8.2.03 I34  xc3s1000-4   971 2898  182 1831 m+p; TIME OK
70
-- 2007-12-30   107  8.2.03 I34  xc3s1000-4   891 2719  137 1515 s 18.8
71
-- 2007-12-30   107  8.2.03 I34  xc3s1000-4   891 2661  137 1654 m+p; TIME OK
72 8 wfjm
--   Note: till 2010-10-24 lutm included 'route-thru', after only logic
73 2 wfjm
--
74
-- Revision History: 
75
-- Date         Rev Version  Comment
76 16 wfjm
-- 2011-12-21   442   1.4.4  use rlink_sp1c; hio led usage now a for n2/n3
77 13 wfjm
-- 2011-11-19   427   1.4.3  now numeric_std clean
78 12 wfjm
-- 2011-07-09   391   1.4.2  use now bp_rs232_2l4l_iob
79
-- 2011-07-08   390   1.4.1  use now sn_humanio
80 9 wfjm
-- 2010-12-30   351   1.4    ported to rbv3
81 8 wfjm
-- 2010-11-06   336   1.3.7  rename input pin CLK -> I_CLK50
82
-- 2010-10-23   335   1.3.3  rename RRI_LAM->RB_LAM;
83 2 wfjm
-- 2010-06-26   309   1.3.2  use constants for rbus addresses (rbaddr_...)
84
-- 2010-06-18   306   1.3.1  rename RB_ADDR->RB_ADDR_CORE, add RB_ADDR_IBUS;
85
--                           remove pdp11_ibdr_rri
86
-- 2010-06-13   305   1.6.1  add CP_ADDR, wire up pdp11_core_rri->pdp11_core
87
-- 2010-06-11   303   1.6    use IB_MREQ.racc instead of RRI_REQ
88
-- 2010-06-03   300   1.5.6  use default FAWIDTH for rri_core_serport
89
-- 2010-05-28   295   1.5.5  rename sys_pdp11core -> sys_w11a_s3
90
-- 2010-05-21   292   1.5.4  rename _PM1_ -> _FUSP_
91
-- 2010-05-16   291   1.5.3  rename memctl_s3sram->s3_sram_memctl
92
-- 2010-05-05   288   1.5.2  add sys_conf_hio_debounce
93
-- 2010-05-02   287   1.5.1  ren CE_XSEC->CE_INT,RP_STAT->RB_STAT,AP_LAM->RB_LAM
94
--                           drop RP_IINT from interfaces; drop RTSFLUSH generic
95
--                           add pm1 rs232 (usp) support
96
-- 2010-05-01   285   1.5    port to rri V2 interface, use rri_core_serport
97
-- 2010-04-17   278   1.4.5  rename sram_dummy -> s3_sram_dummy
98
-- 2010-04-10   275   1.4.4  use s3_humanio; invert DP(1,3)
99
-- 2009-07-12   233   1.4.3  adapt to ibdr_(mini|maxi)sys interface changes
100
-- 2009-06-01   221   1.4.2  support ibdr_maxisys as well as _minisys
101
-- 2009-05-10   214   1.4.1  use pdp11_tmu_sb instead of pdp11_tmu
102
-- 2008-08-22   161   1.4.0  use iblib, ibdlib; renames
103
-- 2008-05-03   143   1.3.6  rename _cpursta->_cpurust
104
-- 2008-05-01   142   1.3.5  reassign LED(cpugo,halt,rust) and DISP(dispreg)
105
-- 2008-04-19   137   1.3.4  add DM_STAT_(DP|VM|CO|SY) signals, add pdp11_tmu
106
-- 2008-04-18   136   1.3.3  add RESET for ibdr_minisys
107
-- 2008-04-13   135   1.3.2  add _mem70 also for _bram configs
108
-- 2008-02-23   118   1.3.1  add _mem70
109
-- 2008-02-17   117   1.3    use ext. memory interface of _core; 
110
--                           use _cache + memctl or _bram (configurable)
111
-- 2008-01-20   113   1.2.1  finalize AP_LAM handling (0=cpu,1=dl11;4=rk05)
112
-- 2008-01-20   112   1.2    rename clkgen->clkdivce; use ibdr_minisys, BRESET
113
--                           add _ib_mux2
114
-- 2008-01-06   111   1.1    use now iob_reg_*; remove rricp_pdp11core hack
115
--                           instanciate all parts directly
116
-- 2007-12-23   105   1.0.4  add rritb_cpmon_sb
117
-- 2007-12-16   101   1.0.3  use _N for active low; set IOB attribute to RI/RO
118
-- 2007-12-09   100   1.0.2  add sram memory signals, dummy handle them
119
-- 2007-10-19    90   1.0.1  init RI_RXD,RO_TXD=1 to avoid startup glitch
120
-- 2007-09-23    84   1.0    Initial version
121
------------------------------------------------------------------------------
122
--
123
-- w11a test design for s3board
124 9 wfjm
--    w11a + rlink + serport
125 2 wfjm
--
126
-- Usage of S3BOARD Switches, Buttons, LEDs:
127
--
128 16 wfjm
--    SWI(7:2): no function (only connected to sn_humanio_rbus)
129
--    SWI(1):   1 enable XON
130
--    SWI(0):   0 -> main board RS232 port
131
--              1 -> Pmod B/top RS232 port
132
--    
133
--    LED(7)    MEM_ACT_W
134
--       (6)    MEM_ACT_R
135
--       (5)    cmdbusy (all rlink access, mostly rdma)
136
--       (4:0): if cpugo=1 show cpu mode activity
137
--                  (4) kernel mode, pri>0
138
--                  (3) kernel mode, pri=0
139
--                  (2) kernel mode, wait
140
--                  (1) supervisor mode
141
--                  (0) user mode
142
--              if cpugo=0 shows cpurust
143
--                (3:0) cpurust code
144
--                  (4) '1'
145
--
146
--    DP(3):    not SER_MONI.txok       (shows tx back preasure)
147
--    DP(2):    SER_MONI.txact          (shows tx activity)
148
--    DP(1):    not SER_MONI.rxok       (shows rx back preasure)
149
--    DP(0):    SER_MONI.rxact          (shows rx activity)
150
--
151 2 wfjm
 
152
library ieee;
153
use ieee.std_logic_1164.all;
154 13 wfjm
use ieee.numeric_std.all;
155 2 wfjm
 
156
use work.slvtypes.all;
157
use work.genlib.all;
158 16 wfjm
use work.serport.all;
159 9 wfjm
use work.rblib.all;
160
use work.rlinklib.all;
161 12 wfjm
use work.bpgenlib.all;
162 2 wfjm
use work.s3boardlib.all;
163
use work.iblib.all;
164
use work.ibdlib.all;
165
use work.pdp11.all;
166
use work.sys_conf.all;
167
 
168
-- ----------------------------------------------------------------------------
169
 
170
entity sys_w11a_s3 is                   -- top level
171
                                        -- implements s3board_fusp_aif
172
  port (
173 8 wfjm
    I_CLK50 : in slbit;                 -- 50 MHz board clock
174 2 wfjm
    I_RXD : in slbit;                   -- receive data (board view)
175
    O_TXD : out slbit;                  -- transmit data (board view)
176
    I_SWI : in slv8;                    -- s3 switches
177
    I_BTN : in slv4;                    -- s3 buttons
178
    O_LED : out slv8;                   -- s3 leds
179
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
180
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
181
    O_MEM_CE_N : out slv2;              -- sram: chip enables  (act.low)
182
    O_MEM_BE_N : out slv4;              -- sram: byte enables  (act.low)
183
    O_MEM_WE_N : out slbit;             -- sram: write enable  (act.low)
184
    O_MEM_OE_N : out slbit;             -- sram: output enable (act.low)
185
    O_MEM_ADDR  : out slv18;            -- sram: address lines
186
    IO_MEM_DATA : inout slv32;          -- sram: data lines
187
    O_FUSP_RTS_N : out slbit;           -- fusp: rs232 rts_n
188
    I_FUSP_CTS_N : in slbit;            -- fusp: rs232 cts_n
189
    I_FUSP_RXD : in slbit;              -- fusp: rs232 rx
190
    O_FUSP_TXD : out slbit              -- fusp: rs232 tx
191
  );
192
end sys_w11a_s3;
193
 
194
architecture syn of sys_w11a_s3 is
195
 
196 8 wfjm
  signal CLK :   slbit := '0';
197
 
198 2 wfjm
  signal RXD :   slbit := '1';
199
  signal TXD :   slbit := '0';
200
  signal RTS_N : slbit := '0';
201
  signal CTS_N : slbit := '0';
202
 
203
  signal SWI     : slv8  := (others=>'0');
204
  signal BTN     : slv4  := (others=>'0');
205
  signal LED     : slv8  := (others=>'0');
206
  signal DSP_DAT : slv16 := (others=>'0');
207
  signal DSP_DP  : slv4  := (others=>'0');
208
 
209
  signal RB_LAM  : slv16 := (others=>'0');
210
  signal RB_STAT : slv3  := (others=>'0');
211
 
212 16 wfjm
  signal SER_MONI : serport_moni_type := serport_moni_init;
213
 
214 2 wfjm
  signal RB_MREQ     : rb_mreq_type := rb_mreq_init;
215
  signal RB_SRES     : rb_sres_type := rb_sres_init;
216
  signal RB_SRES_CPU : rb_sres_type := rb_sres_init;
217
  signal RB_SRES_IBD : rb_sres_type := rb_sres_init;
218
 
219
  signal RESET   : slbit := '0';
220
  signal CE_USEC : slbit := '0';
221
  signal CE_MSEC : slbit := '0';
222
 
223
  signal CPU_RESET : slbit := '0';
224
  signal CP_CNTL : cp_cntl_type := cp_cntl_init;
225
  signal CP_ADDR : cp_addr_type := cp_addr_init;
226
  signal CP_DIN  : slv16 := (others=>'0');
227
  signal CP_STAT : cp_stat_type := cp_stat_init;
228
  signal CP_DOUT : slv16 := (others=>'0');
229
 
230
  signal EI_PRI  : slv3   := (others=>'0');
231
  signal EI_VECT : slv9_2 := (others=>'0');
232
  signal EI_ACKM : slbit  := '0';
233
 
234
  signal EM_MREQ : em_mreq_type := em_mreq_init;
235
  signal EM_SRES : em_sres_type := em_sres_init;
236
 
237
  signal HM_ENA      : slbit := '0';
238
  signal MEM70_FMISS : slbit := '0';
239
  signal CACHE_FMISS : slbit := '0';
240
  signal CACHE_CHIT  : slbit := '0';
241
 
242
  signal MEM_REQ   : slbit := '0';
243
  signal MEM_WE    : slbit := '0';
244
  signal MEM_BUSY  : slbit := '0';
245
  signal MEM_ACK_R : slbit := '0';
246 16 wfjm
  signal MEM_ACT_R : slbit := '0';
247
  signal MEM_ACT_W : slbit := '0';
248 2 wfjm
  signal MEM_ADDR  : slv20 := (others=>'0');
249
  signal MEM_BE    : slv4  := (others=>'0');
250
  signal MEM_DI    : slv32 := (others=>'0');
251
  signal MEM_DO    : slv32 := (others=>'0');
252
 
253
  signal BRESET  : slbit := '0';
254
  signal IB_MREQ : ib_mreq_type := ib_mreq_init;
255
  signal IB_SRES : ib_sres_type := ib_sres_init;
256
 
257
  signal IB_SRES_MEM70 : ib_sres_type := ib_sres_init;
258
  signal IB_SRES_IBDR  : ib_sres_type := ib_sres_init;
259
 
260
  signal DM_STAT_DP : dm_stat_dp_type := dm_stat_dp_init;
261
  signal DM_STAT_VM : dm_stat_vm_type := dm_stat_vm_init;
262
  signal DM_STAT_CO : dm_stat_co_type := dm_stat_co_init;
263
  signal DM_STAT_SY : dm_stat_sy_type := dm_stat_sy_init;
264
 
265
  signal DISPREG : slv16 := (others=>'0');
266
 
267
  constant rbaddr_core0 : slv8 := "00000000";
268
  constant rbaddr_ibus  : slv8 := "10000000";
269
  constant rbaddr_hio   : slv8 := "11000000";
270
 
271
begin
272
 
273 8 wfjm
  CLK <= I_CLK50;                       -- use 50MHz as system clock
274
 
275 2 wfjm
  CLKDIV : clkdivce
276
    generic map (
277
      CDUWIDTH => 6,
278
      USECDIV  => 50,
279
      MSECDIV  => 1000)
280
    port map (
281
      CLK     => CLK,
282
      CE_USEC => CE_USEC,
283
      CE_MSEC => CE_MSEC
284
    );
285
 
286 12 wfjm
  IOB_RS232 : bp_rs232_2l4l_iob
287 2 wfjm
    port map (
288
      CLK      => CLK,
289 12 wfjm
      RESET    => '0',
290 2 wfjm
      SEL      => SWI(0),
291
      RXD      => RXD,
292
      TXD      => TXD,
293
      CTS_N    => CTS_N,
294
      RTS_N    => RTS_N,
295
      I_RXD0   => I_RXD,
296
      O_TXD0   => O_TXD,
297
      I_RXD1   => I_FUSP_RXD,
298
      O_TXD1   => O_FUSP_TXD,
299
      I_CTS1_N => I_FUSP_CTS_N,
300
      O_RTS1_N => O_FUSP_RTS_N
301
    );
302
 
303 12 wfjm
  HIO : sn_humanio
304 2 wfjm
    generic map (
305
      DEBOUNCE => sys_conf_hio_debounce)
306
    port map (
307
      CLK     => CLK,
308
      RESET   => RESET,
309
      CE_MSEC => CE_MSEC,
310
      SWI     => SWI,
311
      BTN     => BTN,
312
      LED     => LED,
313
      DSP_DAT => DSP_DAT,
314
      DSP_DP  => DSP_DP,
315
      I_SWI   => I_SWI,
316
      I_BTN   => I_BTN,
317
      O_LED   => O_LED,
318
      O_ANO_N => O_ANO_N,
319
      O_SEG_N => O_SEG_N
320
    );
321
 
322 16 wfjm
  RLINK : rlink_sp1c
323 2 wfjm
    generic map (
324 16 wfjm
      ATOWIDTH     => 6,                --  64 cycles access timeout
325
      ITOWIDTH     => 6,                --  64 periods max idle timeout
326
      CPREF        => c_rlink_cpref,
327
      IFAWIDTH     => 5,                --  32 word input fifo
328
      OFAWIDTH     => 5,                --  32 word output fifo
329
      ENAPIN_RLMON => sbcntl_sbf_rlmon,
330
      ENAPIN_RBMON => sbcntl_sbf_rbmon,
331
      CDWIDTH      => 13,
332
      CDINIT       => sys_conf_ser2rri_cdinit)
333 2 wfjm
    port map (
334
      CLK      => CLK,
335
      CE_USEC  => CE_USEC,
336
      CE_MSEC  => CE_MSEC,
337
      CE_INT   => CE_MSEC,
338
      RESET    => RESET,
339 16 wfjm
      ENAXON   => SWI(1),
340
      ENAESC   => SWI(1),
341 2 wfjm
      RXSD     => RXD,
342
      TXSD     => TXD,
343
      CTS_N    => CTS_N,
344
      RTS_N    => RTS_N,
345
      RB_MREQ  => RB_MREQ,
346
      RB_SRES  => RB_SRES,
347
      RB_LAM   => RB_LAM,
348 9 wfjm
      RB_STAT  => RB_STAT,
349
      RL_MONI  => open,
350 16 wfjm
      SER_MONI => SER_MONI
351 2 wfjm
    );
352 16 wfjm
 
353 2 wfjm
  RB_SRES_OR : rb_sres_or_2
354
    port map (
355
      RB_SRES_1  => RB_SRES_CPU,
356
      RB_SRES_2  => RB_SRES_IBD,
357
      RB_SRES_OR => RB_SRES
358
    );
359
 
360 9 wfjm
  RP2CP : pdp11_core_rbus
361 2 wfjm
    generic map (
362
      RB_ADDR_CORE => rbaddr_core0,
363
      RB_ADDR_IBUS => rbaddr_ibus)
364
    port map (
365
      CLK       => CLK,
366
      RESET     => RESET,
367
      RB_MREQ   => RB_MREQ,
368
      RB_SRES   => RB_SRES_CPU,
369
      RB_STAT   => RB_STAT,
370 8 wfjm
      RB_LAM    => RB_LAM(0),
371 2 wfjm
      CPU_RESET => CPU_RESET,
372
      CP_CNTL   => CP_CNTL,
373
      CP_ADDR   => CP_ADDR,
374
      CP_DIN    => CP_DIN,
375
      CP_STAT   => CP_STAT,
376
      CP_DOUT   => CP_DOUT
377
    );
378
 
379
  CORE : pdp11_core
380
    port map (
381
      CLK       => CLK,
382
      RESET     => CPU_RESET,
383
      CP_CNTL   => CP_CNTL,
384
      CP_ADDR   => CP_ADDR,
385
      CP_DIN    => CP_DIN,
386
      CP_STAT   => CP_STAT,
387
      CP_DOUT   => CP_DOUT,
388
      EI_PRI    => EI_PRI,
389
      EI_VECT   => EI_VECT,
390
      EI_ACKM   => EI_ACKM,
391
      EM_MREQ   => EM_MREQ,
392
      EM_SRES   => EM_SRES,
393
      BRESET    => BRESET,
394
      IB_MREQ_M => IB_MREQ,
395
      IB_SRES_M => IB_SRES,
396
      DM_STAT_DP => DM_STAT_DP,
397
      DM_STAT_VM => DM_STAT_VM,
398
      DM_STAT_CO => DM_STAT_CO
399
    );
400
 
401
  MEM_BRAM: if sys_conf_bram > 0 generate
402
    signal HM_VAL_BRAM : slbit := '0';
403
  begin
404
 
405
    MEM : pdp11_bram
406
      generic map (
407
        AWIDTH => sys_conf_bram_awidth)
408
      port map (
409
        CLK     => CLK,
410
        GRESET  => CPU_RESET,
411
        EM_MREQ => EM_MREQ,
412
        EM_SRES => EM_SRES
413
      );
414
 
415
    HM_VAL_BRAM <= not EM_MREQ.we;        -- assume hit if read, miss if write
416
 
417
    MEM70: pdp11_mem70
418
      port map (
419
        CLK         => CLK,
420
        CRESET      => BRESET,
421
        HM_ENA      => EM_MREQ.req,
422
        HM_VAL      => HM_VAL_BRAM,
423
        CACHE_FMISS => MEM70_FMISS,
424
        IB_MREQ     => IB_MREQ,
425
        IB_SRES     => IB_SRES_MEM70
426
      );
427
 
428
    SRAM_PROT : s3_sram_dummy             -- connect SRAM to protection dummy
429
      port map (
430
        O_MEM_CE_N  => O_MEM_CE_N,
431
        O_MEM_BE_N  => O_MEM_BE_N,
432
        O_MEM_WE_N  => O_MEM_WE_N,
433
        O_MEM_OE_N  => O_MEM_OE_N,
434
        O_MEM_ADDR  => O_MEM_ADDR,
435
        IO_MEM_DATA => IO_MEM_DATA
436
      );
437
 
438
  end generate MEM_BRAM;
439
 
440
  MEM_SRAM: if sys_conf_bram = 0 generate
441
 
442
    CACHE: pdp11_cache
443
      port map (
444
        CLK       => CLK,
445
        GRESET    => CPU_RESET,
446
        EM_MREQ   => EM_MREQ,
447
        EM_SRES   => EM_SRES,
448
        FMISS     => CACHE_FMISS,
449
        CHIT      => CACHE_CHIT,
450
        MEM_REQ   => MEM_REQ,
451
        MEM_WE    => MEM_WE,
452
        MEM_BUSY  => MEM_BUSY,
453
        MEM_ACK_R => MEM_ACK_R,
454
        MEM_ADDR  => MEM_ADDR,
455
        MEM_BE    => MEM_BE,
456
        MEM_DI    => MEM_DI,
457
        MEM_DO    => MEM_DO
458
      );
459
 
460
    MEM70: pdp11_mem70
461
      port map (
462
        CLK         => CLK,
463
        CRESET      => BRESET,
464
        HM_ENA      => HM_ENA,
465
        HM_VAL      => CACHE_CHIT,
466
        CACHE_FMISS => MEM70_FMISS,
467
        IB_MREQ     => IB_MREQ,
468
        IB_SRES     => IB_SRES_MEM70
469
      );
470
 
471
    HM_ENA      <= EM_SRES.ack_r or EM_SRES.ack_w;
472
    CACHE_FMISS <= MEM70_FMISS or sys_conf_cache_fmiss;
473
 
474
    SRAM_CTL: s3_sram_memctl
475
      port map (
476
        CLK         => CLK,
477
        RESET       => CPU_RESET,
478
        REQ         => MEM_REQ,
479
        WE          => MEM_WE,
480
        BUSY        => MEM_BUSY,
481
        ACK_R       => MEM_ACK_R,
482
        ACK_W       => open,
483 16 wfjm
        ACT_R       => MEM_ACT_R,
484
        ACT_W       => MEM_ACT_W,
485 2 wfjm
        ADDR        => MEM_ADDR(17 downto 0),
486
        BE          => MEM_BE,
487
        DI          => MEM_DI,
488
        DO          => MEM_DO,
489
        O_MEM_CE_N  => O_MEM_CE_N,
490
        O_MEM_BE_N  => O_MEM_BE_N,
491
        O_MEM_WE_N  => O_MEM_WE_N,
492
        O_MEM_OE_N  => O_MEM_OE_N,
493
        O_MEM_ADDR  => O_MEM_ADDR,
494
        IO_MEM_DATA => IO_MEM_DATA
495
      );
496
 
497
  end generate MEM_SRAM;
498
 
499
  IB_SRES_OR : ib_sres_or_2
500
    port map (
501
      IB_SRES_1  => IB_SRES_MEM70,
502
      IB_SRES_2  => IB_SRES_IBDR,
503
      IB_SRES_OR => IB_SRES);
504
 
505
  IBD_MINI : if false generate
506
  begin
507
    IBDR_SYS : ibdr_minisys
508
      port map (
509
        CLK      => CLK,
510
        CE_USEC  => CE_USEC,
511
        CE_MSEC  => CE_MSEC,
512
        RESET    => CPU_RESET,
513
        BRESET   => BRESET,
514 8 wfjm
        RB_LAM   => RB_LAM(15 downto 1),
515 2 wfjm
        IB_MREQ  => IB_MREQ,
516
        IB_SRES  => IB_SRES_IBDR,
517
        EI_ACKM  => EI_ACKM,
518
        EI_PRI   => EI_PRI,
519
        EI_VECT  => EI_VECT,
520
        DISPREG  => DISPREG);
521
  end generate IBD_MINI;
522
 
523
  IBD_MAXI : if true generate
524
  begin
525
    IBDR_SYS : ibdr_maxisys
526
      port map (
527
        CLK      => CLK,
528
        CE_USEC  => CE_USEC,
529
        CE_MSEC  => CE_MSEC,
530
        RESET    => CPU_RESET,
531
        BRESET   => BRESET,
532 8 wfjm
        RB_LAM   => RB_LAM(15 downto 1),
533 2 wfjm
        IB_MREQ  => IB_MREQ,
534
        IB_SRES  => IB_SRES_IBDR,
535
        EI_ACKM  => EI_ACKM,
536
        EI_PRI   => EI_PRI,
537
        EI_VECT  => EI_VECT,
538
        DISPREG  => DISPREG);
539
  end generate IBD_MAXI;
540
 
541
  DSP_DAT(15 downto 0) <= DISPREG;
542 16 wfjm
 
543
  DSP_DP(3) <= not SER_MONI.txok;
544
  DSP_DP(2) <= SER_MONI.txact;
545
  DSP_DP(1) <= not SER_MONI.rxok;
546
  DSP_DP(0) <= SER_MONI.rxact;
547 2 wfjm
 
548 16 wfjm
  proc_led: process (MEM_ACT_W, MEM_ACT_R, CP_STAT, DM_STAT_DP.psw)
549
    variable iled : slv8 := (others=>'0');
550
  begin
551
    iled := (others=>'0');
552
    iled(7) := MEM_ACT_W;
553
    iled(6) := MEM_ACT_R;
554
    iled(5) := CP_STAT.cmdbusy;
555
    if CP_STAT.cpugo = '1' then
556
      case DM_STAT_DP.psw.cmode is
557
        when c_psw_kmode =>
558
          if CP_STAT.cpuwait = '1' then
559
            iled(2) := '1';
560
          elsif unsigned(DM_STAT_DP.psw.pri) = 0 then
561
            iled(3) := '1';
562
          else
563
            iled(4) := '1';
564
          end if;
565
        when c_psw_smode =>
566
          iled(1) := '1';
567
        when c_psw_umode =>
568
          iled(0) := '1';
569
        when others => null;
570
      end case;
571
    else
572
      iled(4) := '1';
573
      iled(3 downto 0) := CP_STAT.cpurust;
574
    end if;
575
    LED <= iled;
576
  end process;
577 2 wfjm
 
578
-- synthesis translate_off
579
  DM_STAT_SY.emmreq <= EM_MREQ;
580
  DM_STAT_SY.emsres <= EM_SRES;
581
  DM_STAT_SY.chit   <= CACHE_CHIT;
582
 
583
  TMU : pdp11_tmu_sb
584
    generic map (
585
      ENAPIN => 13)
586
    port map (
587
      CLK        => CLK,
588
      DM_STAT_DP => DM_STAT_DP,
589
      DM_STAT_VM => DM_STAT_VM,
590
      DM_STAT_CO => DM_STAT_CO,
591
      DM_STAT_SY => DM_STAT_SY
592
    );
593
-- synthesis translate_on
594 16 wfjm
 
595 2 wfjm
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.