OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [vlib/] [cdclib/] [cdc_signal_s1.xdc] - Blame information for rev 40

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 36 wfjm
# -*- tcl -*-
2
# $Id: cdc_signal_s1.xdc 759 2016-04-09 10:13:57Z mueller $
3
#
4
# Copyright 2016- by Walter F.J. Mueller 
5
# License disclaimer see LICENSE_gpl_v2.txt in $RETROBASE directory
6
#
7
# cdc constraints for cdc_signal_s1
8
#
9
# Revision History:
10
# Date         Rev Version  Comment
11
# 2016-04-08   759   1.0    Initial version
12
#
13
 
14
set clko [get_clocks -of_objects  [get_cells R_DO_S0_reg]]
15
set clko_per80 [expr {0.8 * [get_property -min PERIOD $clko]}]
16
 
17
#
18
# CLKI->CLK0
19
# ensure timing delay in DI smaller than a receiver clock cycle
20
#   Note: -datapath_only requires -from to be specified; -from must be a
21
#         clock (or primary port); a -from clock can't be reliably determined
22
#         because 'single signal' synchronizer might be driven by logic or
23
#         even by a constant. So simply use [get_clock], thus all clocks.
24
set_max_delay \
25
  -from [get_clocks] \
26
  -to   [get_cells {R_DO_S0_reg}] \
27
  -datapath_only  $clko_per80

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.