OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [vlib/] [generic_clk_100mhz.xdc] - Blame information for rev 38

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 36 wfjm
# -*- tcl -*-
2
# $Id: generic_clk_100mhz.xdc 777 2016-06-19 20:24:15Z mueller $
3
#
4
# Copyright 2016- by Walter F.J. Mueller 
5
# License disclaimer see LICENSE_gpl_v2.txt in $RETROBASE directory
6
#
7
# Generic constraint for pin CLK with a 100 MHz clock
8
# Helpful for test benches and generic test synthesis
9
#
10
# Revision History:
11
# Date         Rev Version  Comment
12
# 2016-06-19   777   1.0    Initial version
13
 
14
create_clock -name CLK -period 10 -waveform {0 5} [get_ports CLK]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.