OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [vlib/] [rbus/] [rbd_eyemon.vhd] - Blame information for rev 27

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 wfjm
-- $Id: rbd_eyemon.vhd 593 2014-09-14 22:21:33Z mueller $
2 10 wfjm
--
3 27 wfjm
-- Copyright 2010-2014 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 10 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    rbd_eyemon - syn
16
-- Description:    rbus dev: eye monitor for serport's
17
--
18
-- Dependencies:   memlib/ram_2swsr_wfirst_gen
19
--
20
-- Test bench:     -
21
--
22
-- Target Devices: generic
23 27 wfjm
-- Tool versions:  xst 12.1-14.7; ghdl 0.29-0.31
24 10 wfjm
--
25
-- Synthesized (xst):
26
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
27
-- 2011-04-02   374 12.1    M53d xc3s1000-4    46  154    -  109 s  8.7
28
-- 2010-12-27   349 12.1    M53d xc3s1000-4    45  147    -  106 s  8.9
29
--
30
-- Revision History: 
31
-- Date         Rev Version  Comment
32 27 wfjm
-- 2014-09-13   593   4.1    no default rbus addess anymore, def=0
33
-- 2014-08-15   583   4.0    rb_mreq addr now 16 bit
34 13 wfjm
-- 2011-11-19   427   1.0.3  now numeric_std clean
35 10 wfjm
-- 2011-04-02   375   1.0.2  handle back-to-back chars properly (in sim..)
36
-- 2010-12-31   352   1.0.1  simplify irb_ack logic
37
-- 2010-12-27   349   1.0    Initial version 
38
------------------------------------------------------------------------------
39
--
40
-- rbus registers:
41
--
42 27 wfjm
-- Addr   Bits  Name        r/w/f  Function
43
--   00         cntl        r/w/-  Control register
44
--          03    ena01     r/w/-    track 0->1 rxsd transitions
45
--          02    ena10     r/w/-    track 1->0 rxsd transitions
46
--          01    clr       r/-/f    w: writing a 1 starts memory clear
47 10 wfjm
--                                     r: 1 indicates clr in progress (512 cyc)
48 27 wfjm
--          00    go        r/w/-    enables monitor
49
--   01   7:00  rdiv        r/w/-  Sample rate divider
50
--   10         addr        r/w/-  Address register
51
--        9:01    laddr     r/w/     line address
52
--          00    waddr     r/w/     word address
53
--   11  15:00  data        r/-/-  Data register
54 10 wfjm
--
55
--     data format:
56
--     word 1  counter msb's
57
--     word 0  counter lsb's
58
-- 
59
 
60
library ieee;
61
use ieee.std_logic_1164.all;
62 13 wfjm
use ieee.numeric_std.all;
63 10 wfjm
 
64
use work.slvtypes.all;
65
use work.memlib.all;
66
use work.rblib.all;
67
 
68
entity rbd_eyemon is                    -- rbus dev: eye monitor for serport's
69
  generic (
70 27 wfjm
    RB_ADDR : slv16 := (others=>'0');
71
    RDIV : slv8 := (others=>'0'));
72 10 wfjm
  port (
73
    CLK  : in slbit;                    -- clock
74
    RESET : in slbit;                   -- reset
75
    RB_MREQ : in rb_mreq_type;          -- rbus: request
76
    RB_SRES : out rb_sres_type;         -- rbus: response
77
    RXSD : in slbit;                    -- rx: serial data
78
    RXACT : in slbit                    -- rx: active (start seen)
79
  );
80
end entity rbd_eyemon;
81
 
82
 
83
architecture syn of rbd_eyemon is
84
 
85
  constant rbaddr_cntl : slv2 := "00";   -- cntl address offset
86
  constant rbaddr_rdiv : slv2 := "01";   -- rdiv address offset
87
  constant rbaddr_addr : slv2 := "10";   -- addr address offset
88
  constant rbaddr_data : slv2 := "11";   -- data address offset
89
 
90
  constant cntl_rbf_ena01    : integer :=     3;
91
  constant cntl_rbf_ena10    : integer :=     2;
92
  constant cntl_rbf_clr      : integer :=     1;
93
  constant cntl_rbf_go       : integer :=     0;
94
  subtype  addr_rbf_laddr   is integer range  9 downto  1;
95
  constant addr_rbf_waddr    : integer :=     0;
96
 
97
  type state_type is (
98
    s_idle,                             -- s_idle: wait for char or clr
99
    s_char,                             -- s_char: processing a char
100
    s_clr                               -- s_clr: clear memory
101
  );
102
 
103
  type regs_type is record              -- state registers
104
    state : state_type;                 -- state
105
    rbsel : slbit;                      -- rbus select
106
    go : slbit;                         -- go flag
107
    clr : slbit;                        -- clear pending
108
    ena10 : slbit;                      -- enable 1->0
109
    ena01 : slbit;                      -- enable 0->1
110
    rdiv : slv8;                        -- rate divider
111
    laddr : slv9;                       -- line address
112
    waddr : slbit;                      -- word address
113
    laddr_1 : slv9;                     -- line address last cycle
114
    rxsd_1 : slbit;                     -- rxsd last cycle
115
    memwe : slbit;                      -- write bram (clr or inc)
116
    memclr : slbit;                     -- write zero into bram
117
    rdivcnt : slv8;                     -- rate divider counter
118
  end record regs_type;
119
 
120
  constant regs_init : regs_type := (
121
    s_idle,                             -- state
122
    '0',                                -- rbsel
123
    '0',                                -- go    (default is off)
124
    '0','0','0',                        -- clr,ena01,ena10
125
    (others=>'0'),                      -- rdiv
126
    (others=>'0'),                      -- laddr
127
    '0',                                -- waddr
128
    (others=>'0'),                      -- laddr_1
129
    '0','0','0',                        -- rxsd_1,memwe,memclr
130
    (others=>'0')                       -- rdivcnt
131
  );
132
 
133
  signal R_REGS : regs_type := regs_init;
134
  signal N_REGS : regs_type := regs_init;
135
 
136
  signal BRAM_ENA : slbit := '0';
137
  signal BRAM_DIA : slv32 := (others=>'0');
138
  signal BRAM_DIB : slv32 := (others=>'0');
139
  signal BRAM_DOA : slv32 := (others=>'0');
140
 
141
begin
142
 
143 12 wfjm
  BRAM_DIA <= (others=>'0');            -- always 0, no writes on this port
144
 
145 10 wfjm
  BRAM : ram_2swsr_wfirst_gen
146
    generic map (
147
      AWIDTH =>  9,
148
      DWIDTH => 32)
149
    port map (
150
      CLKA   => CLK,
151
      CLKB   => CLK,
152
      ENA    => BRAM_ENA,
153
      ENB    => R_REGS.memwe,
154
      WEA    => '0',
155
      WEB    => R_REGS.memwe,
156
      ADDRA  => R_REGS.laddr,
157
      ADDRB  => R_REGS.laddr_1,
158
      DIA    => BRAM_DIA,
159
      DIB    => BRAM_DIB,
160
      DOA    => BRAM_DOA,
161
      DOB    => open
162
    );
163
 
164
  proc_regs: process (CLK)
165
  begin
166 13 wfjm
    if rising_edge(CLK) then
167 10 wfjm
      if RESET = '1' then
168
        R_REGS <= regs_init;
169
      else
170
        R_REGS <= N_REGS;
171
      end if;
172
    end if;
173
  end process proc_regs;
174
 
175
  proc_next : process (R_REGS, RB_MREQ, RXSD, RXACT, BRAM_DOA)
176
    variable r : regs_type := regs_init;
177
    variable n : regs_type := regs_init;
178
    variable irb_ack  : slbit := '0';
179
    variable irb_busy : slbit := '0';
180
    variable irb_err  : slbit := '0';
181
    variable irb_dout  : slv16 := (others=>'0');
182
    variable irbena  : slbit := '0';
183
    variable ibramen : slbit := '0';
184
    variable ibramdi : slv32 := (others=>'0');
185
    variable laddr_we : slbit := '0';
186
    variable laddr_clr : slbit := '0';
187
    variable laddr_inc : slbit := '0';
188
  begin
189
 
190
    r := R_REGS;
191
    n := R_REGS;
192
 
193
    irb_ack  := '0';
194
    irb_busy := '0';
195
    irb_err  := '0';
196
    irb_dout := (others=>'0');
197
 
198
    irbena  := RB_MREQ.re or RB_MREQ.we;
199
 
200
    ibramen := '0';
201
 
202
    laddr_we  := '0';
203
    laddr_clr := '0';
204
    laddr_inc := '0';
205
 
206
    -- rbus address decoder
207
    n.rbsel := '0';
208 27 wfjm
    if RB_MREQ.aval='1' and RB_MREQ.addr(15 downto 2)=RB_ADDR(15 downto 2) then
209 10 wfjm
      n.rbsel := '1';
210
      ibramen := '1';
211
    end if;
212
 
213
    -- rbus transactions
214
    if r.rbsel = '1' then
215
 
216
      irb_ack := irbena;                  -- ack all accesses
217
 
218
      case RB_MREQ.addr(1 downto 0) is
219
 
220
        when rbaddr_cntl =>
221
          if RB_MREQ.we = '1' then
222
            n.ena01 := RB_MREQ.din(cntl_rbf_ena01);
223
            n.ena10 := RB_MREQ.din(cntl_rbf_ena10);
224
            if RB_MREQ.din(cntl_rbf_clr) = '1' then
225
              n.clr := '1';
226
            end if;
227
            n.go    := RB_MREQ.din(cntl_rbf_go);
228
          end if;
229
 
230
        when rbaddr_rdiv =>
231
          if RB_MREQ.we = '1' then
232
            n.rdiv := RB_MREQ.din(n.rdiv'range);
233
          end if;
234
 
235
        when rbaddr_addr =>
236
          if RB_MREQ.we = '1' then
237
            laddr_we := '1';
238
            n.waddr := RB_MREQ.din(addr_rbf_waddr);
239
          end if;
240
 
241
        when rbaddr_data =>
242
          if RB_MREQ.we='1' then
243
            irb_err := '1';
244
          end if;
245
          if RB_MREQ.re = '1' then
246
            if r.go='0' and r.clr='0' and r.state=s_idle then
247
              n.waddr := not r.waddr;
248
              if r.waddr = '1' then
249
                laddr_inc := '1';
250
              end if;
251
            else
252
              irb_err := '1';
253
            end if;
254
          end if;
255
 
256
        when others => null;
257
      end case;
258
    end if;
259
 
260
    -- rbus output driver
261
    if r.rbsel = '1' then
262
      case RB_MREQ.addr(1 downto 0) is
263
        when rbaddr_cntl =>
264
          irb_dout(cntl_rbf_ena01) := r.ena01;
265
          irb_dout(cntl_rbf_ena10) := r.ena10;
266
          irb_dout(cntl_rbf_clr)   := r.clr;
267
          irb_dout(cntl_rbf_go)    := r.go;
268
        when rbaddr_rdiv =>
269
          irb_dout(r.rdiv'range)   := r.rdiv;
270
        when rbaddr_addr =>
271
          irb_dout(addr_rbf_laddr) := r.laddr;
272
          irb_dout(addr_rbf_waddr) := r.waddr;
273
        when rbaddr_data =>
274
          case r.waddr is
275
            when '1' => irb_dout := BRAM_DOA(31 downto 16);
276
            when '0' => irb_dout := BRAM_DOA(15 downto  0);
277
            when others => null;
278
          end case;
279
        when others => null;
280
      end case;
281
    end if;
282
 
283
    -- eye monitor
284
    n.memwe  := '0';
285
    n.memclr := '0';
286
 
287
    case r.state is
288
      when s_idle =>                    -- s_idle: wait for char or clr ------
289
        if r.clr = '1' then
290
          laddr_clr := '1';
291
          n.state := s_clr;
292
        elsif r.go = '1' and RXSD='0' then
293
          laddr_clr := '1';
294
          n.rdivcnt := r.rdiv;
295
          n.state := s_char;
296
        end if;
297
 
298
      when s_char =>                    -- s_char: processing a char ---------
299
        if RXACT = '0' then               -- uart went unactive
300
          if RXSD = '1' then                -- line idle -> to s_idle
301
            n.state := s_idle;
302
          else                              -- already next start bit seen 
303
            laddr_clr := '1';                 -- clear and restart
304
            n.rdivcnt := r.rdiv;              -- happens only in simulation...
305
          end if;
306
        else
307
          if (r.ena01='1' and r.rxsd_1='0' and RXSD='1') or
308
             (r.ena10='1' and r.rxsd_1='1' and RXSD='0') then
309
            n.memwe := '1';
310
            ibramen := '1';
311
          end if;
312
        end if;
313
        if unsigned(r.rdiv)=0 or unsigned(r.rdivcnt)=0 then
314
          n.rdivcnt := r.rdiv;
315
          if unsigned(r.laddr) /= (2**r.laddr'length)-1 then
316
            laddr_inc := '1';
317
          end if;
318
        else
319 13 wfjm
          n.rdivcnt := slv(unsigned(r.rdivcnt) - 1);
320 10 wfjm
        end if;
321
 
322
      when s_clr =>                     -- s_clr: clear memory ---------------
323
        laddr_inc := '1';
324
        n.memwe  := '1';
325
        n.memclr := '1';
326
        if unsigned(r.laddr) = (2**r.laddr'length)-1 then
327
          n.clr   := '0';
328
          n.state := s_idle;
329
        end if;
330
 
331
      when others => null;
332
    end case;
333
 
334
    if laddr_we = '1' then
335
      n.laddr := RB_MREQ.din(addr_rbf_laddr);
336
    elsif laddr_clr = '1' then
337
      n.laddr := (others=>'0');
338
    elsif laddr_inc = '1' then
339 13 wfjm
      n.laddr := slv(unsigned(r.laddr) + 1);
340 10 wfjm
    end if;
341
 
342
    n.laddr_1 := r.laddr;
343
    n.rxsd_1  := RXSD;
344
 
345
    ibramdi := (others=>'0');
346
    if r.memclr = '0' then
347 13 wfjm
      ibramdi := slv(unsigned(BRAM_DOA) + 1);
348 10 wfjm
    end if;
349
 
350
    N_REGS <= n;
351
 
352
    BRAM_ENA <= ibramen;
353
    BRAM_DIB <= ibramdi;
354
 
355
    RB_SRES.dout <= irb_dout;
356
    RB_SRES.ack  <= irb_ack;
357
    RB_SRES.err  <= irb_err;
358
    RB_SRES.busy <= irb_busy;
359
 
360
  end process proc_next;
361
 
362
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.