OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [vlib/] [rbus/] [rbd_eyemon.vhd] - Blame information for rev 40

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 37 wfjm
-- $Id: rbd_eyemon.vhd 784 2016-07-09 22:17:01Z mueller $
2 10 wfjm
--
3 36 wfjm
-- Copyright 2010-2016 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 10 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    rbd_eyemon - syn
16
-- Description:    rbus dev: eye monitor for serport's
17
--
18
-- Dependencies:   memlib/ram_2swsr_wfirst_gen
19
--
20
-- Test bench:     -
21
--
22
-- Target Devices: generic
23 36 wfjm
-- Tool versions:  xst 12.1-14.7; viv 2014.4-2016.1; ghdl 0.29-0.33
24 10 wfjm
--
25
-- Synthesized (xst):
26
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
27
-- 2011-04-02   374 12.1    M53d xc3s1000-4    46  154    -  109 s  8.7
28
-- 2010-12-27   349 12.1    M53d xc3s1000-4    45  147    -  106 s  8.9
29
--
30
-- Revision History: 
31
-- Date         Rev Version  Comment
32 37 wfjm
-- 2016-05-22   767   4.1.1  don't init N_REGS (vivado fix for fsm inference)
33 27 wfjm
-- 2014-09-13   593   4.1    no default rbus addess anymore, def=0
34
-- 2014-08-15   583   4.0    rb_mreq addr now 16 bit
35 13 wfjm
-- 2011-11-19   427   1.0.3  now numeric_std clean
36 10 wfjm
-- 2011-04-02   375   1.0.2  handle back-to-back chars properly (in sim..)
37
-- 2010-12-31   352   1.0.1  simplify irb_ack logic
38
-- 2010-12-27   349   1.0    Initial version 
39
------------------------------------------------------------------------------
40
--
41
-- rbus registers:
42
--
43 27 wfjm
-- Addr   Bits  Name        r/w/f  Function
44
--   00         cntl        r/w/-  Control register
45
--          03    ena01     r/w/-    track 0->1 rxsd transitions
46
--          02    ena10     r/w/-    track 1->0 rxsd transitions
47
--          01    clr       r/-/f    w: writing a 1 starts memory clear
48 10 wfjm
--                                     r: 1 indicates clr in progress (512 cyc)
49 27 wfjm
--          00    go        r/w/-    enables monitor
50
--   01   7:00  rdiv        r/w/-  Sample rate divider
51
--   10         addr        r/w/-  Address register
52
--        9:01    laddr     r/w/     line address
53
--          00    waddr     r/w/     word address
54
--   11  15:00  data        r/-/-  Data register
55 10 wfjm
--
56
--     data format:
57
--     word 1  counter msb's
58
--     word 0  counter lsb's
59
-- 
60
 
61
library ieee;
62
use ieee.std_logic_1164.all;
63 13 wfjm
use ieee.numeric_std.all;
64 10 wfjm
 
65
use work.slvtypes.all;
66
use work.memlib.all;
67
use work.rblib.all;
68
 
69
entity rbd_eyemon is                    -- rbus dev: eye monitor for serport's
70
  generic (
71 27 wfjm
    RB_ADDR : slv16 := (others=>'0');
72
    RDIV : slv8 := (others=>'0'));
73 10 wfjm
  port (
74
    CLK  : in slbit;                    -- clock
75
    RESET : in slbit;                   -- reset
76
    RB_MREQ : in rb_mreq_type;          -- rbus: request
77
    RB_SRES : out rb_sres_type;         -- rbus: response
78
    RXSD : in slbit;                    -- rx: serial data
79
    RXACT : in slbit                    -- rx: active (start seen)
80
  );
81
end entity rbd_eyemon;
82
 
83
 
84
architecture syn of rbd_eyemon is
85
 
86
  constant rbaddr_cntl : slv2 := "00";   -- cntl address offset
87
  constant rbaddr_rdiv : slv2 := "01";   -- rdiv address offset
88
  constant rbaddr_addr : slv2 := "10";   -- addr address offset
89
  constant rbaddr_data : slv2 := "11";   -- data address offset
90
 
91
  constant cntl_rbf_ena01    : integer :=     3;
92
  constant cntl_rbf_ena10    : integer :=     2;
93
  constant cntl_rbf_clr      : integer :=     1;
94
  constant cntl_rbf_go       : integer :=     0;
95
  subtype  addr_rbf_laddr   is integer range  9 downto  1;
96
  constant addr_rbf_waddr    : integer :=     0;
97
 
98
  type state_type is (
99
    s_idle,                             -- s_idle: wait for char or clr
100
    s_char,                             -- s_char: processing a char
101
    s_clr                               -- s_clr: clear memory
102
  );
103
 
104
  type regs_type is record              -- state registers
105
    state : state_type;                 -- state
106
    rbsel : slbit;                      -- rbus select
107
    go : slbit;                         -- go flag
108
    clr : slbit;                        -- clear pending
109
    ena10 : slbit;                      -- enable 1->0
110
    ena01 : slbit;                      -- enable 0->1
111
    rdiv : slv8;                        -- rate divider
112
    laddr : slv9;                       -- line address
113
    waddr : slbit;                      -- word address
114
    laddr_1 : slv9;                     -- line address last cycle
115
    rxsd_1 : slbit;                     -- rxsd last cycle
116
    memwe : slbit;                      -- write bram (clr or inc)
117
    memclr : slbit;                     -- write zero into bram
118
    rdivcnt : slv8;                     -- rate divider counter
119
  end record regs_type;
120
 
121
  constant regs_init : regs_type := (
122
    s_idle,                             -- state
123
    '0',                                -- rbsel
124
    '0',                                -- go    (default is off)
125
    '0','0','0',                        -- clr,ena01,ena10
126
    (others=>'0'),                      -- rdiv
127
    (others=>'0'),                      -- laddr
128
    '0',                                -- waddr
129
    (others=>'0'),                      -- laddr_1
130
    '0','0','0',                        -- rxsd_1,memwe,memclr
131
    (others=>'0')                       -- rdivcnt
132
  );
133
 
134
  signal R_REGS : regs_type := regs_init;
135 36 wfjm
  signal N_REGS : regs_type;            -- don't init (vivado fix for fsm infer)
136 10 wfjm
 
137
  signal BRAM_ENA : slbit := '0';
138
  signal BRAM_DIA : slv32 := (others=>'0');
139
  signal BRAM_DIB : slv32 := (others=>'0');
140
  signal BRAM_DOA : slv32 := (others=>'0');
141
 
142
begin
143
 
144 12 wfjm
  BRAM_DIA <= (others=>'0');            -- always 0, no writes on this port
145
 
146 10 wfjm
  BRAM : ram_2swsr_wfirst_gen
147
    generic map (
148
      AWIDTH =>  9,
149
      DWIDTH => 32)
150
    port map (
151
      CLKA   => CLK,
152
      CLKB   => CLK,
153
      ENA    => BRAM_ENA,
154
      ENB    => R_REGS.memwe,
155
      WEA    => '0',
156
      WEB    => R_REGS.memwe,
157
      ADDRA  => R_REGS.laddr,
158
      ADDRB  => R_REGS.laddr_1,
159
      DIA    => BRAM_DIA,
160
      DIB    => BRAM_DIB,
161
      DOA    => BRAM_DOA,
162
      DOB    => open
163
    );
164
 
165
  proc_regs: process (CLK)
166
  begin
167 13 wfjm
    if rising_edge(CLK) then
168 10 wfjm
      if RESET = '1' then
169
        R_REGS <= regs_init;
170
      else
171
        R_REGS <= N_REGS;
172
      end if;
173
    end if;
174
  end process proc_regs;
175
 
176
  proc_next : process (R_REGS, RB_MREQ, RXSD, RXACT, BRAM_DOA)
177
    variable r : regs_type := regs_init;
178
    variable n : regs_type := regs_init;
179
    variable irb_ack  : slbit := '0';
180
    variable irb_busy : slbit := '0';
181
    variable irb_err  : slbit := '0';
182
    variable irb_dout  : slv16 := (others=>'0');
183
    variable irbena  : slbit := '0';
184
    variable ibramen : slbit := '0';
185
    variable ibramdi : slv32 := (others=>'0');
186
    variable laddr_we : slbit := '0';
187
    variable laddr_clr : slbit := '0';
188
    variable laddr_inc : slbit := '0';
189
  begin
190
 
191
    r := R_REGS;
192
    n := R_REGS;
193
 
194
    irb_ack  := '0';
195
    irb_busy := '0';
196
    irb_err  := '0';
197
    irb_dout := (others=>'0');
198
 
199
    irbena  := RB_MREQ.re or RB_MREQ.we;
200
 
201
    ibramen := '0';
202
 
203
    laddr_we  := '0';
204
    laddr_clr := '0';
205
    laddr_inc := '0';
206
 
207
    -- rbus address decoder
208
    n.rbsel := '0';
209 27 wfjm
    if RB_MREQ.aval='1' and RB_MREQ.addr(15 downto 2)=RB_ADDR(15 downto 2) then
210 10 wfjm
      n.rbsel := '1';
211
      ibramen := '1';
212
    end if;
213
 
214
    -- rbus transactions
215
    if r.rbsel = '1' then
216
 
217
      irb_ack := irbena;                  -- ack all accesses
218
 
219
      case RB_MREQ.addr(1 downto 0) is
220
 
221
        when rbaddr_cntl =>
222
          if RB_MREQ.we = '1' then
223
            n.ena01 := RB_MREQ.din(cntl_rbf_ena01);
224
            n.ena10 := RB_MREQ.din(cntl_rbf_ena10);
225
            if RB_MREQ.din(cntl_rbf_clr) = '1' then
226
              n.clr := '1';
227
            end if;
228
            n.go    := RB_MREQ.din(cntl_rbf_go);
229
          end if;
230
 
231
        when rbaddr_rdiv =>
232
          if RB_MREQ.we = '1' then
233
            n.rdiv := RB_MREQ.din(n.rdiv'range);
234
          end if;
235
 
236
        when rbaddr_addr =>
237
          if RB_MREQ.we = '1' then
238
            laddr_we := '1';
239
            n.waddr := RB_MREQ.din(addr_rbf_waddr);
240
          end if;
241
 
242
        when rbaddr_data =>
243
          if RB_MREQ.we='1' then
244
            irb_err := '1';
245
          end if;
246
          if RB_MREQ.re = '1' then
247
            if r.go='0' and r.clr='0' and r.state=s_idle then
248
              n.waddr := not r.waddr;
249
              if r.waddr = '1' then
250
                laddr_inc := '1';
251
              end if;
252
            else
253
              irb_err := '1';
254
            end if;
255
          end if;
256
 
257
        when others => null;
258
      end case;
259
    end if;
260
 
261
    -- rbus output driver
262
    if r.rbsel = '1' then
263
      case RB_MREQ.addr(1 downto 0) is
264
        when rbaddr_cntl =>
265
          irb_dout(cntl_rbf_ena01) := r.ena01;
266
          irb_dout(cntl_rbf_ena10) := r.ena10;
267
          irb_dout(cntl_rbf_clr)   := r.clr;
268
          irb_dout(cntl_rbf_go)    := r.go;
269
        when rbaddr_rdiv =>
270
          irb_dout(r.rdiv'range)   := r.rdiv;
271
        when rbaddr_addr =>
272
          irb_dout(addr_rbf_laddr) := r.laddr;
273
          irb_dout(addr_rbf_waddr) := r.waddr;
274
        when rbaddr_data =>
275
          case r.waddr is
276
            when '1' => irb_dout := BRAM_DOA(31 downto 16);
277
            when '0' => irb_dout := BRAM_DOA(15 downto  0);
278
            when others => null;
279
          end case;
280
        when others => null;
281
      end case;
282
    end if;
283
 
284
    -- eye monitor
285
    n.memwe  := '0';
286
    n.memclr := '0';
287
 
288
    case r.state is
289
      when s_idle =>                    -- s_idle: wait for char or clr ------
290
        if r.clr = '1' then
291
          laddr_clr := '1';
292
          n.state := s_clr;
293
        elsif r.go = '1' and RXSD='0' then
294
          laddr_clr := '1';
295
          n.rdivcnt := r.rdiv;
296
          n.state := s_char;
297
        end if;
298
 
299
      when s_char =>                    -- s_char: processing a char ---------
300 36 wfjm
        n.state := s_char;              -- needed to prevent vivado iSTATE
301 10 wfjm
        if RXACT = '0' then               -- uart went unactive
302
          if RXSD = '1' then                -- line idle -> to s_idle
303
            n.state := s_idle;
304
          else                              -- already next start bit seen 
305
            laddr_clr := '1';                 -- clear and restart
306
            n.rdivcnt := r.rdiv;              -- happens only in simulation...
307
          end if;
308
        else
309
          if (r.ena01='1' and r.rxsd_1='0' and RXSD='1') or
310
             (r.ena10='1' and r.rxsd_1='1' and RXSD='0') then
311
            n.memwe := '1';
312
            ibramen := '1';
313
          end if;
314
        end if;
315
        if unsigned(r.rdiv)=0 or unsigned(r.rdivcnt)=0 then
316
          n.rdivcnt := r.rdiv;
317
          if unsigned(r.laddr) /= (2**r.laddr'length)-1 then
318
            laddr_inc := '1';
319
          end if;
320
        else
321 13 wfjm
          n.rdivcnt := slv(unsigned(r.rdivcnt) - 1);
322 10 wfjm
        end if;
323
 
324
      when s_clr =>                     -- s_clr: clear memory ---------------
325
        laddr_inc := '1';
326
        n.memwe  := '1';
327
        n.memclr := '1';
328
        if unsigned(r.laddr) = (2**r.laddr'length)-1 then
329
          n.clr   := '0';
330
          n.state := s_idle;
331
        end if;
332
 
333
      when others => null;
334
    end case;
335
 
336
    if laddr_we = '1' then
337
      n.laddr := RB_MREQ.din(addr_rbf_laddr);
338
    elsif laddr_clr = '1' then
339
      n.laddr := (others=>'0');
340
    elsif laddr_inc = '1' then
341 13 wfjm
      n.laddr := slv(unsigned(r.laddr) + 1);
342 10 wfjm
    end if;
343
 
344
    n.laddr_1 := r.laddr;
345
    n.rxsd_1  := RXSD;
346
 
347
    ibramdi := (others=>'0');
348
    if r.memclr = '0' then
349 13 wfjm
      ibramdi := slv(unsigned(BRAM_DOA) + 1);
350 10 wfjm
    end if;
351
 
352
    N_REGS <= n;
353
 
354
    BRAM_ENA <= ibramen;
355
    BRAM_DIB <= ibramdi;
356
 
357
    RB_SRES.dout <= irb_dout;
358
    RB_SRES.ack  <= irb_ack;
359
    RB_SRES.err  <= irb_err;
360
    RB_SRES.busy <= irb_busy;
361
 
362
  end process proc_next;
363
 
364
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.