OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [vlib/] [rbus/] [rblib.vhd] - Blame information for rev 27

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 wfjm
-- $Id: rblib.vhd 593 2014-09-14 22:21:33Z mueller $
2 2 wfjm
--
3 27 wfjm
-- Copyright 2007-2014 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15 9 wfjm
-- Package Name:   rblib
16
-- Description:    Definitions for rbus interface and bus entities
17 2 wfjm
--
18
-- Dependencies:   -
19 27 wfjm
-- Tool versions:  xst 8.2-14.7; ghdl 0.18-0.31
20 9 wfjm
--
21 2 wfjm
-- Revision History: 
22
-- Date         Rev Version  Comment
23 27 wfjm
-- 2014-09-14   593   4.0    use new rlink v4 iface and 4 bit STAT
24
-- 2014-08-15   583   3.5    rb_mreq addr now 16 bit
25 17 wfjm
-- 2011-12-23   444   3.1    CLK_CYCLE now integer
26 12 wfjm
-- 2011-08-13   405   3.0.3  add in direction for  FADDR,SEL ports
27 9 wfjm
-- 2010-12-26   349   3.0.2  add rb_sel
28
-- 2010-12-22   346   3.0.1  add rb_mon and rb_mon_sb;
29
-- 2010-12-04   343   3.0    extracted from rrilib and rritblib;
30
--                           rbus V3 interface: use aval,re,we
31
--                           ... rrilib history removed ...
32 2 wfjm
-- 2007-09-09    81   1.0    Initial version 
33
------------------------------------------------------------------------------
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
 
38
use work.slvtypes.all;
39
 
40 9 wfjm
package rblib is
41 2 wfjm
 
42 9 wfjm
type rb_mreq_type is record             -- rbus - master request
43
  aval : slbit;                         -- address valid
44
  re   : slbit;                         -- read enable
45 2 wfjm
  we   : slbit;                         -- write enable
46
  init : slbit;                         -- init
47 27 wfjm
  addr : slv16;                         -- address
48 2 wfjm
  din  : slv16;                         -- data (input to slave)
49
end record rb_mreq_type;
50
 
51
constant rb_mreq_init : rb_mreq_type :=
52 9 wfjm
  ('0','0','0','0',                     -- aval, re, we, init
53 2 wfjm
   (others=>'0'),                       -- addr
54
   (others=>'0'));                      -- din
55
 
56 9 wfjm
type rb_sres_type is record             -- rbus - slave response
57 2 wfjm
  ack  : slbit;                         -- acknowledge
58
  busy : slbit;                         -- busy
59
  err  : slbit;                         -- error
60
  dout : slv16;                         -- data (output from slave)
61
end record rb_sres_type;
62
 
63
constant rb_sres_init : rb_sres_type :=
64
  ('0','0','0',                         -- ack, busy, err
65
   (others=>'0'));                      -- dout
66
 
67 9 wfjm
component rb_sel is                     -- rbus address select logic
68 2 wfjm
  generic (
69 27 wfjm
    RB_ADDR : slv16;                    -- rbus address base
70 9 wfjm
    SAWIDTH : natural := 0);            -- device subaddress space width
71 2 wfjm
  port (
72 9 wfjm
    CLK : in slbit;                     -- clock
73
    RB_MREQ : in rb_mreq_type;          -- rbus request
74
    SEL : out slbit                     -- select state bit
75 2 wfjm
  );
76
end component;
77
 
78 9 wfjm
component rb_sres_or_2 is               -- rbus result or, 2 input
79 2 wfjm
  port (
80
    RB_SRES_1  :  in rb_sres_type;                 -- rb_sres input 1
81
    RB_SRES_2  :  in rb_sres_type := rb_sres_init; -- rb_sres input 2
82
    RB_SRES_OR : out rb_sres_type       -- rb_sres or'ed output
83
  );
84
end component;
85 9 wfjm
component rb_sres_or_3 is               -- rbus result or, 3 input
86 2 wfjm
  port (
87
    RB_SRES_1  :  in rb_sres_type;                 -- rb_sres input 1
88
    RB_SRES_2  :  in rb_sres_type := rb_sres_init; -- rb_sres input 2
89
    RB_SRES_3  :  in rb_sres_type := rb_sres_init; -- rb_sres input 3
90
    RB_SRES_OR : out rb_sres_type       -- rb_sres or'ed output
91
  );
92
end component;
93 9 wfjm
component rb_sres_or_4 is               -- rbus result or, 4 input
94 2 wfjm
  port (
95
    RB_SRES_1  :  in rb_sres_type;                 -- rb_sres input 1
96
    RB_SRES_2  :  in rb_sres_type := rb_sres_init; -- rb_sres input 2
97
    RB_SRES_3  :  in rb_sres_type := rb_sres_init; -- rb_sres input 3
98
    RB_SRES_4  :  in rb_sres_type := rb_sres_init; -- rb_sres input 4
99
    RB_SRES_OR : out rb_sres_type       -- rb_sres or'ed output
100
  );
101
end component;
102
 
103 9 wfjm
component rbus_aif is                   -- rbus, abstract interface
104
  port (
105
    CLK  : in slbit;                    -- clock
106
    RESET  : in slbit := '0';           -- reset
107
    RB_MREQ : in rb_mreq_type;          -- rbus: request
108
    RB_SRES : out rb_sres_type;         -- rbus: response
109
    RB_LAM : out slv16;                 -- rbus: look at me
110 27 wfjm
    RB_STAT : out slv4                  -- rbus: status flags
111 9 wfjm
  );
112
end component;
113
 
114
component rb_wreg_rw_3 is               -- rbus: wide register r/w 3 bit select
115 2 wfjm
  generic (
116
    DWIDTH : positive := 16);
117
  port (
118
    CLK  : in slbit;                    -- clock
119
    RESET  : in slbit;                  -- reset
120 12 wfjm
    FADDR : in slv3;                    -- field address
121
    SEL : in slbit;                     -- select
122 2 wfjm
    DATA : out slv(DWIDTH-1 downto 0);  -- data
123 9 wfjm
    RB_MREQ :  in rb_mreq_type;         -- rbus request
124
    RB_SRES : out rb_sres_type          -- rbus response
125 2 wfjm
  );
126
end component;
127
 
128 9 wfjm
component rb_wreg_w_3 is                -- rbus: wide register w-o 3 bit select
129 2 wfjm
  generic (
130
    DWIDTH : positive := 16);
131
  port (
132
    CLK  : in slbit;                    -- clock
133
    RESET  : in slbit;                  -- reset
134 12 wfjm
    FADDR : in slv3;                    -- field address
135
    SEL : in slbit;                     -- select
136 2 wfjm
    DATA : out slv(DWIDTH-1 downto 0);  -- data
137 9 wfjm
    RB_MREQ :  in rb_mreq_type;         -- rbus request
138
    RB_SRES : out rb_sres_type          -- rbus response
139 2 wfjm
  );
140
end component;
141
 
142 9 wfjm
component rb_wreg_r_3 is                -- rbus: wide register r-o 3 bit select
143 2 wfjm
  generic (
144
    DWIDTH : positive := 16);
145
  port (
146 12 wfjm
    FADDR : in slv3;                    -- field address
147
    SEL : in slbit;                     -- select
148 2 wfjm
    DATA : in slv(DWIDTH-1 downto 0);   -- data
149 9 wfjm
    RB_SRES : out rb_sres_type          -- rbus response
150 2 wfjm
  );
151
end component;
152
 
153 9 wfjm
--
154
-- components for use in test benches (not synthesizable)
155
--
156
 
157
component rb_sres_or_mon is             -- rbus result or monitor
158
  port (
159
    RB_SRES_1  :  in rb_sres_type;      -- rb_sres input 1
160
    RB_SRES_2  :  in rb_sres_type;      -- rb_sres input 2
161
    RB_SRES_3  :  in rb_sres_type := rb_sres_init; -- rb_sres input 3
162
    RB_SRES_4  :  in rb_sres_type := rb_sres_init  -- rb_sres input 4
163
  );
164
end component;
165
 
166
-- simbus sb_cntl field usage for rbus
167 27 wfjm
constant sbcntl_sbf_rbmon : integer := 13;
168 9 wfjm
 
169
component rb_mon is                     -- rbus monitor
170
  generic (
171
    DBASE : positive :=  2);            -- base for writing data values
172
  port (
173
    CLK  : in slbit;                    -- clock
174 17 wfjm
    CLK_CYCLE : in integer := 0;        -- clock cycle number
175 9 wfjm
    ENA  : in slbit := '1';             -- enable monitor output
176
    RB_MREQ : in rb_mreq_type;          -- rbus: request
177
    RB_SRES : in rb_sres_type;          -- rbus: response
178
    RB_LAM : in slv16 := (others=>'0'); -- rbus: look at me
179 27 wfjm
    RB_STAT : in slv4                   -- rbus: status flags
180 9 wfjm
  );
181
end component;
182
 
183
component rb_mon_sb is                  -- simbus wrapper for rbus monitor
184
  generic (
185
    DBASE : positive :=  2;             -- base for writing data values
186
    ENAPIN : integer := sbcntl_sbf_rbmon); -- SB_CNTL signal to use for enable
187
  port (
188
    CLK  : in slbit;                    -- clock
189
    RB_MREQ : in rb_mreq_type;          -- rbus: request
190
    RB_SRES : in rb_sres_type;          -- rbus: response
191
    RB_LAM : in slv16 := (others=>'0'); -- rbus: look at me
192 27 wfjm
    RB_STAT : in slv4                   -- rbus: status flags
193 9 wfjm
  );
194
end component;
195
 
196 12 wfjm
end package rblib;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.