OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [vlib/] [rlink/] [tb/] [rlinktblib.vhd] - Blame information for rev 38

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 37 wfjm
-- $Id: rlinktblib.vhd 805 2016-09-03 08:09:52Z mueller $
2 2 wfjm
--
3 37 wfjm
-- Copyright 2007-2016 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15 9 wfjm
-- Package Name:   rlinktblib
16
-- Description:    rlink test environment components
17 2 wfjm
--
18
-- Dependencies:   -
19 37 wfjm
-- Tool versions:  xst 8.2-14.7; viv 2015.4-2016.2; ghdl 0.18-0.33
20 2 wfjm
-- Revision History: 
21
-- Date         Rev Version  Comment
22 37 wfjm
-- 2016-02-13   730   4.1    drop tbcore_rlink component definition
23 27 wfjm
-- 2014-08-28   588   4.0    now full rlink v4 iface and 4 bit STAT
24
-- 2014-08-15   583   3.5    rb_mreq addr now 16 bit
25 17 wfjm
-- 2011-12-23   444   3.1    new clock iface for tbcore_rlink; drop .._dcm
26 9 wfjm
-- 2010-12-29   351   3.0.1  add rbtba_aif;
27
-- 2010-12-24   347   3.0    rename rritblib->rlinktblib, CP_*->RL_*;
28
--                           many rri->rlink renames; drop rbus parts;
29 8 wfjm
-- 2010-11-13   338   2.5.2  add rritb_core_dcm
30 2 wfjm
-- 2010-06-26   309   2.5.1  add rritb_sres_or_mon
31
-- 2010-06-06   302   2.5    use sop/eop framing instead of soc+chaining
32
-- 2010-06-05   301   2.1.2  renamed _rpmon -> _rbmon
33
-- 2010-05-02   287   2.1.1  rename CE_XSEC->CE_INT,RP_STAT->RB_STAT
34
--                           drop RP_IINT signal from interfaces
35
--                           add sbcntl_sbf_(cp|rp)mon defs
36
-- 2010-04-24   282   2.1    add rritb_core
37
-- 2008-08-24   162   2.0    all with new rb_mreq/rb_sres interface
38
-- 2008-03-24   129   1.1.5  CLK_CYCLE now 31 bits
39
-- 2007-12-23   105   1.1.4  add AP_LAM  for rritb_rpmon(_sb)
40
-- 2007-11-24    98   1.1.3  add RP_IINT for rritb_rpmon(_sb)
41
-- 2007-09-01    78   1.1.2  add rricp_rp
42
-- 2007-08-25    75   1.1.1  add rritb_cpmon_sb, rritb_rpmon_sb
43
-- 2007-08-16    74   1.1    remove rritb_tt* component; some interface changes
44
-- 2007-08-03    71   1.0.2  use rrirp_acif; change generics for rritb_[cr]pmon
45
-- 2007-07-22    68   1.0.1  add rritb_cpmon rritb_rpmon monitors
46
-- 2007-07-15    66   1.0    Initial version
47
------------------------------------------------------------------------------
48
 
49
library ieee;
50
use ieee.std_logic_1164.all;
51
 
52
use work.slvtypes.all;
53 9 wfjm
use work.rlinklib.all;
54 2 wfjm
 
55 9 wfjm
package rlinktblib is
56 2 wfjm
 
57 9 wfjm
type rlink_tba_cntl_type is record      -- rlink_tba control
58
  cmd : slv3;                           -- command code
59
  ena : slbit;                          -- command enable
60 27 wfjm
  addr : slv16;                         -- address
61
  cnt : slv16;                          -- block size
62 9 wfjm
  eop : slbit;                          -- end packet after current command
63
end record rlink_tba_cntl_type;
64 2 wfjm
 
65 9 wfjm
constant rlink_tba_cntl_init : rlink_tba_cntl_type := (
66
           (others=>'0'),               -- cmd
67
           '0',                         -- ena
68
           (others=>'0'),               -- addr
69
           (others=>'0'),               -- cnt
70
           '0');                        -- eop
71
 
72
type rlink_tba_stat_type is record      -- rlink_tba status
73
  busy : slbit;                         -- command busy
74
  ack : slbit;                          -- command acknowledge
75
  err : slbit;                          -- command error flag
76
  stat : slv8;                          -- status flags
77 27 wfjm
  braddr : slv16;                       -- block read address  (for wblk)
78 9 wfjm
  bre : slbit;                          -- block read enable   (for wblk)
79 27 wfjm
  bwaddr : slv16;                       -- block write address (for rblk)
80 9 wfjm
  bwe : slbit;                          -- block write enable  (for rblk)
81 27 wfjm
  dcnt : slv16;                         -- block done count
82
  apend : slbit;                        -- attn pending (from stat)
83
  ano : slbit;                          -- attn notify seen
84
  apat : slv16;                         -- attn pattern
85 9 wfjm
end record rlink_tba_stat_type;
86 2 wfjm
 
87 9 wfjm
constant rlink_tba_stat_init : rlink_tba_stat_type := (
88
           '0','0','0',                 -- busy, ack, err
89
           (others=>'0'),               -- stat
90
           (others=>'0'),               -- braddr
91
           '0',                         -- bre
92
           (others=>'0'),               -- bwaddr
93
           '0',                         -- bwe
94 27 wfjm
           (others=>'0'),               -- dcnt
95
           '0','0',                     -- apend, ano
96
           (others=>'0')                -- apat
97
         );
98 2 wfjm
 
99 9 wfjm
component rlink_tba is                  -- rlink test bench adapter
100 2 wfjm
  port (
101
    CLK  : in slbit;                    -- clock
102 9 wfjm
    RESET  : in slbit;                  -- reset
103
    CNTL : in rlink_tba_cntl_type;      -- control port
104
    DI : in slv16;                      -- input data
105
    STAT : out rlink_tba_stat_type;     -- status port
106
    DO : out slv16;                     -- output data
107
    RL_DI : out slv9;                   -- rlink: data in
108
    RL_ENA : out slbit;                 -- rlink: data enable
109
    RL_BUSY : in slbit;                 -- rlink: data busy
110
    RL_DO : in slv9;                    -- rlink: data out
111
    RL_VAL : in slbit;                  -- rlink: data valid
112
    RL_HOLD : out slbit                 -- rlink: data hold
113 2 wfjm
  );
114
end component;
115
 
116 9 wfjm
component rbtba_aif is                  -- rbus tba, abstract interface
117
                                        -- no generics, no records
118 2 wfjm
  port (
119
    CLK  : in slbit;                    -- clock
120 9 wfjm
    RESET  : in slbit := '0';           -- reset
121
    RB_MREQ_aval : in slbit;            -- rbus: request - aval
122
    RB_MREQ_re : in slbit;              -- rbus: request - re
123
    RB_MREQ_we : in slbit;              -- rbus: request - we
124
    RB_MREQ_initt : in slbit;           -- rbus: request - init; avoid name coll
125 27 wfjm
    RB_MREQ_addr : in slv16;            -- rbus: request - addr
126 9 wfjm
    RB_MREQ_din : in slv16;             -- rbus: request - din
127
    RB_SRES_ack : out slbit;            -- rbus: response - ack
128
    RB_SRES_busy : out slbit;           -- rbus: response - busy
129
    RB_SRES_err : out slbit;            -- rbus: response - err
130
    RB_SRES_dout : out slv16;           -- rbus: response - dout
131
    RB_LAM : out slv16;                 -- rbus: look at me
132 27 wfjm
    RB_STAT : out slv4                  -- rbus: status flags
133 2 wfjm
  );
134
end component;
135
 
136 9 wfjm
-- FIXME after this point !!
137
 
138 2 wfjm
component rricp_rp is                   -- rri comm->reg port aif forwarder
139
                                        -- implements rricp_aif, uses rrirp_aif
140
  port (
141
    CLK  : in slbit;                    -- clock
142
    CE_INT : in slbit := '0';           -- rri ito time unit clock enable
143
    RESET  : in slbit :='0';            -- reset
144 9 wfjm
    RL_DI : in slv9;                    -- rlink: data in
145
    RL_ENA : in slbit;                  -- rlink: data enable
146
    RL_BUSY : out slbit;                -- rlink: data busy
147
    RL_DO : out slv9;                   -- rlink: data out
148
    RL_VAL : out slbit;                 -- rlink: data valid
149
    RL_HOLD : in slbit := '0'           -- rlink: data hold
150 2 wfjm
  );
151
end component;
152
 
153 12 wfjm
end package rlinktblib;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.