OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [vlib/] [rlink/] [tb/] [tbd_tba_ttcombo.vhd] - Blame information for rev 38

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 37 wfjm
-- $Id: tbd_tba_ttcombo.vhd 593 2014-09-14 22:21:33Z mueller $
2
--
3
-- Copyright 2007-2014 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    tbd_tba_ttcombo - syn
16
-- Description:    rbtba_aif wrapper for test target
17
--
18
-- Dependencies:   rbd_tester
19
--                 rbd_bram
20
--                 rbd_rbmon
21
--                 rb_sres_or_4
22
--
23
-- Test bench:     tb/tb_rlink_tba_ttcombo
24
--
25
-- Target Devices: generic
26
--
27
-- Synthesised (xst):
28
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
29
-- 2010-12-29   351 12.1    M53d xc3s1000-4   192  538   32  342 s 10.1
30
-- 2010-12-23   347 12.1    M53d xc3s1000-4    78  204   32  133 s  8.1
31
--
32
-- Tool versions:  xst 8.2-14.7; ghdl 0.18-0.31
33
--
34
-- Revision History: 
35
-- Date         Rev Version  Comment
36
-- 2014-09-13   593   4.0    use new rlink v4 iface and 4 bit STAT; new addr
37
-- 2014-08-15   583   3.5    rb_mreq addr now 16 bit
38
-- 2011-11-22   432   3.1.2  now numeric_std clean
39
-- 2010-12-29   351   3.1.1  moved in from rbus/rbd_ttcombo; port to rbtba_aif
40
-- 2010-12-26   349   3.1    add rbd_bram and rbd_rbmon
41
-- 2010-12-23   347   3.0    rename rrirp_ttcombo->rbd_ttcombo; essentially a
42
--                           rewrite, use rbd_tester;
43
-- ----------                old V2 and V1 history removed
44
-- 2007-08-16    74   1.0    Initial version 
45
------------------------------------------------------------------------------
46
--
47
-- address layout:
48
--
49
--   rbd_rbmon     ffe8/8
50
--   rbd_tester    ffe0/8
51
--   rbd_bram      fe00/2
52
--
53
 
54
library ieee;
55
use ieee.std_logic_1164.all;
56
use ieee.numeric_std.all;
57
 
58
use work.slvtypes.all;
59
use work.rblib.all;
60
use work.rbdlib.all;
61
 
62
entity rbd_tba_ttcombo is               -- rbtba_aif wrapper for test target
63
                                        -- implements rbtba_aif
64
  port (
65
    CLK  : in slbit;                    -- clock
66
    RESET  : in slbit;                  -- reset
67
    RB_MREQ_aval : in slbit;            -- rbus: request - aval
68
    RB_MREQ_re : in slbit;              -- rbus: request - re
69
    RB_MREQ_we : in slbit;              -- rbus: request - we
70
    RB_MREQ_initt : in slbit;           -- rbus: request - init; avoid name coll
71
    RB_MREQ_addr : in slv16;            -- rbus: request - addr
72
    RB_MREQ_din : in slv16;             -- rbus: request - din
73
    RB_SRES_ack : out slbit;            -- rbus: response - ack
74
    RB_SRES_busy : out slbit;           -- rbus: response - busy
75
    RB_SRES_err : out slbit;            -- rbus: response - err
76
    RB_SRES_dout : out slv16;           -- rbus: response - dout
77
    RB_LAM : out slv16;                 -- rbus: look at me
78
    RB_STAT : out slv4                  -- rbus: status flags
79
  );
80
end entity rbd_tba_ttcombo;
81
 
82
 
83
architecture syn of rbd_tba_ttcombo is
84
 
85
  signal RB_SRES_TEST  : rb_sres_type := rb_sres_init;
86
  signal RB_SRES_BRAM  : rb_sres_type := rb_sres_init;
87
  signal RB_SRES_MON   : rb_sres_type := rb_sres_init;
88
 
89
  signal RB_MREQ : rb_mreq_type := rb_mreq_init;
90
  signal RB_SRES : rb_sres_type := rb_sres_init;
91
 
92
begin
93
 
94
  RB_MREQ.aval <= RB_MREQ_aval;
95
  RB_MREQ.re   <= RB_MREQ_re;
96
  RB_MREQ.we   <= RB_MREQ_we;
97
  RB_MREQ.init <= RB_MREQ_initt;
98
  RB_MREQ.addr <= RB_MREQ_addr;
99
  RB_MREQ.din  <= RB_MREQ_din;
100
 
101
  RB_SRES_ack  <= RB_SRES.ack;
102
  RB_SRES_busy <= RB_SRES.busy;
103
  RB_SRES_err  <= RB_SRES.err;
104
  RB_SRES_dout <= RB_SRES.dout;
105
 
106
  TEST: rbd_tester
107
    generic map (
108
      RB_ADDR => slv(to_unsigned(16#ffe0#,16)))
109
    port map (
110
      CLK      => CLK,
111
      RESET    => RESET,
112
      RB_MREQ  => RB_MREQ,
113
      RB_SRES  => RB_SRES_TEST,
114
      RB_LAM   => RB_LAM,
115
      RB_STAT  => RB_STAT
116
    );
117
 
118
  MON: rbd_rbmon
119
    generic map (
120
      RB_ADDR => slv(to_unsigned(16#ffe8#,16)),
121
      AWIDTH  => 9)
122
    port map (
123
      CLK         => CLK,
124
      RESET       => RESET,
125
      RB_MREQ     => RB_MREQ,
126
      RB_SRES     => RB_SRES_MON,
127
      RB_SRES_SUM => RB_SRES
128
    );
129
 
130
  BRAM: rbd_bram
131
    generic map (
132
      RB_ADDR => slv(to_unsigned(16#fe00#,16)))
133
    port map (
134
      CLK      => CLK,
135
      RESET    => RESET,
136
      RB_MREQ  => RB_MREQ,
137
      RB_SRES  => RB_SRES_BRAM
138
    );
139
 
140
  RB_SRES_OR : rb_sres_or_4
141
    port map (
142
      RB_SRES_1  => RB_SRES_TEST,
143
      RB_SRES_2  => RB_SRES_BRAM,
144
      RB_SRES_3  => RB_SRES_MON,
145
      RB_SRES_4  => rb_sres_init,
146
      RB_SRES_OR => RB_SRES
147
    );
148
 
149
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.