OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [vlib/] [serport/] [serport_uart_rx.vhd] - Blame information for rev 38

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 37 wfjm
-- $Id: serport_uart_rx.vhd 784 2016-07-09 22:17:01Z mueller $
2 2 wfjm
--
3 35 wfjm
-- Copyright 2007-2016 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
-- The uart expects CLKDIV+1 wide input bit symbols.
15
-- This implementation counts the number of 1's in the first CLKDIV clock
16
-- cycles, and checks in the last cycle of the symbol time whether the
17
-- number of 1's was > CLKDIV/2. This supresses short glitches nicely,
18
-- especially for larger clock dividers.
19
--
20
------------------------------------------------------------------------------
21
-- Module Name:    serport_uart_rx - syn
22
-- Description:    serial port UART - receiver
23
--
24
-- Dependencies:   -
25
-- Test bench:     tb/tb_serport_uart_rxtx
26
-- Target Devices: generic
27 36 wfjm
-- Tool versions:  ise 8.2-14.7; viv 2014.4-2016.2; ghdl 0.18-0.33
28 2 wfjm
-- Revision History: 
29
-- Date         Rev Version  Comment
30 37 wfjm
-- 2016-05-22   767   2.0.4  don't init N_REGS (vivado fix for fsm inference)
31 13 wfjm
-- 2011-10-22   417   2.0.3  now numeric_std clean
32 2 wfjm
-- 2009-07-12   233   2.0.2  remove snoopers
33
-- 2008-03-02   121   2.0.1  comment out snoopers
34
-- 2007-10-21    91   2.0    re-designed and -implemented with state machine.
35
--                           allow CLKDIV=0 with 1 stop bit; allow max. CLKDIV
36
--                           (all 1's); aborts bad start bit after 1/2 cell;
37
--                           accepts stop bit after 1/2 cell, permits tx clock
38
--                           be ~3 percent faster than rx clock.
39
--                           for 3s1000ft256: 50 -> 58 slices for CDWIDTH=13
40
-- 2007-10-14    89   1.1    almost full rewrite, handles now CLKDIV=0 properly
41
--                           for 3s1000ft256: 43 -> 50 slices for CDWIDTH=13
42
-- 2007-10-12    88   1.0.1  avoid ieee.std_logic_unsigned, use cast to unsigned
43
-- 2007-06-30    62   1.0    Initial version 
44
------------------------------------------------------------------------------
45 36 wfjm
-- NOTE: for test bench usage a copy of all serport_* entities, with _tb
46
-- !!!!  appended to the name, has been created in the /tb sub folder.
47
-- !!!!  Ensure to update the copy when this file is changed !!
48 2 wfjm
 
49
library ieee;
50
use ieee.std_logic_1164.all;
51 13 wfjm
use ieee.numeric_std.all;
52 2 wfjm
 
53
use work.slvtypes.all;
54
 
55
entity serport_uart_rx is               -- serial port uart: receive part
56
  generic (
57
    CDWIDTH : positive := 13);          -- clk divider width
58
  port (
59
    CLK : in slbit;                     -- clock
60
    RESET : in slbit;                   -- reset
61
    CLKDIV : in slv(CDWIDTH-1 downto 0); -- clock divider setting
62
    RXSD : in slbit;                    -- receive serial data (uart view)
63
    RXDATA : out slv8;                  -- receiver data out
64
    RXVAL : out slbit;                  -- receiver data valid
65
    RXERR : out slbit;                  -- receiver data error (frame error)
66
    RXACT : out slbit                   -- receiver active
67
  );
68
end serport_uart_rx;
69
 
70
 
71
architecture syn of serport_uart_rx is
72
 
73
  type state_type is (
74
    s_idle,                             -- s_idle:  idle
75
    s_colb0,                            -- s_colb0: collect b0 (start bit)
76
    s_endb0,                            -- s_endb0: finish  b0 (start bit)
77
    s_colbx,                            -- s_colbx: collect bx
78
    s_endbx,                            -- s_endbx: finish  bx
79
    s_colb9,                            -- s_colb9: collect bx (stop bit)
80
    s_endb9                             -- s_endb9: finish  bx (stop bit)
81
  );
82
 
83
  type regs_type is record
84
    state : state_type;                 -- state
85
    ccnt : slv(CDWIDTH-1 downto 0);     -- clock divider counter
86
    dcnt : slv(CDWIDTH   downto 0);     -- data '1' counter
87
    bcnt : slv4;                        -- bit counter
88
    sreg : slv8;                        -- input shift register
89
  end record regs_type;
90
 
91
  constant ccntzero : slv(CDWIDTH-1 downto 0) := (others=>'0');
92
  constant dcntzero : slv(CDWIDTH   downto 0) := (others=>'0');
93
  constant regs_init : regs_type := (
94 13 wfjm
    s_idle,                             -- state
95
    ccntzero,                           -- ccnt
96
    dcntzero,                           -- dcnt
97
    (others=>'0'),                      -- bcnt
98
    (others=>'0')                       -- sreg
99 2 wfjm
  );
100
 
101 36 wfjm
  signal R_REGS : regs_type := regs_init;
102
  signal N_REGS : regs_type;            -- don't init (vivado fix for fsm infer)
103
 
104 2 wfjm
begin
105
 
106
  proc_regs: process (CLK)
107
  begin
108
 
109 13 wfjm
    if rising_edge(CLK) then
110 2 wfjm
      R_REGS <= N_REGS;
111
    end if;
112
 
113
  end process proc_regs;
114
 
115
  proc_next: process (R_REGS, RESET, CLKDIV, RXSD)
116
 
117
    variable r : regs_type := regs_init;
118
    variable n : regs_type := regs_init;
119
 
120
    variable dbit : slbit := '0';
121
    variable ld_ccnt : slbit := '0';
122
    variable tc_ccnt : slbit := '0';
123
    variable tc_bcnt : slbit := '0';
124
    variable ld_dcnt : slbit := '0';
125
    variable ld_bcnt : slbit := '0';
126
    variable ce_bcnt : slbit := '0';
127
    variable iact : slbit := '0';
128
    variable ival : slbit := '0';
129
    variable ierr : slbit := '0';
130
 
131
  begin
132
 
133
    r := R_REGS;
134
    n := R_REGS;
135
 
136
    dbit := '0';
137
    ld_ccnt := '0';
138
    tc_ccnt := '0';
139
    tc_bcnt := '0';
140
    ld_dcnt := '0';
141
    ld_bcnt := '0';
142
    ce_bcnt := '0';
143
    iact := '1';
144
    ival := '0';
145
    ierr := '0';
146
 
147
    if unsigned(r.ccnt) = 0 then
148
      tc_ccnt := '1';
149
    end if;
150
    if unsigned(r.bcnt) = 9 then
151
      tc_bcnt := '1';
152
    end if;
153
 
154
    if unsigned(r.dcnt) > unsigned("00" & CLKDIV(CDWIDTH-1 downto 1)) then
155
      dbit := '1';
156
    end if;
157
 
158
    case r.state is
159
 
160
      when s_idle =>                    -- s_idle: idle ----------------------
161
        iact := '0';
162
        ld_dcnt := '1';                   -- always keep dcnt in reset
163
        if RXSD = '0' then                -- if start bit seen
164
          if tc_ccnt = '1' then
165
            n.state := s_endb0;             -- finish b0
166
            ld_ccnt := '1';                 -- start next bit
167
            ce_bcnt := '1';
168
          else
169
            n.state := s_colb0;             -- collect b0
170
          end if;
171
        else                              -- otherwise
172
          ld_ccnt := '1';                   -- keep all counters in reset
173
          ld_bcnt := '1';
174
        end if;
175
 
176
      when s_colb0 =>                   -- s_colb0: collect b0 (start bit) ---
177
        if tc_ccnt = '1' then           -- last cycle of b0 ?
178
          n.state := s_endb0;             -- finish b0
179
          ld_ccnt := '1';                 -- "
180
          ce_bcnt := '1';
181
        else                            -- continue in b0 ?
182
          if dbit='1' and RXSD='1' then   -- too many 1's ?
183
            n.state := s_idle;              -- abort to idle
184
            ld_dcnt := '1';                 -- put counters in reset
185
            ld_ccnt := '1';
186
            ld_bcnt := '1';
187
          end if;
188
        end if;
189
 
190
      when s_endb0 =>                   -- s_endb0: finish  b0 (start bit) ---
191
        ld_dcnt := '1';                 -- start next bit
192
        if dbit = '1' then              -- was it a 1 ?
193
          n.state := s_idle;              -- abort to idle
194
          ld_ccnt := '1';                 -- put counters in reset
195
          ld_bcnt := '1';
196
        else
197
          if tc_ccnt = '1' then           -- last cycle of bx ?
198
            n.state := s_endbx;             -- finish bx
199
            ld_ccnt := '1';
200
            ce_bcnt := '1';
201
          else                            -- continue in b0 ?
202
            n.state := s_colbx;             -- collect bx
203
          end if;
204
        end if;
205
 
206
      when s_colbx =>                   -- s_colbx: collect bx ---------------
207
        if tc_ccnt = '1' then           -- last cycle of bx ?
208
          n.state := s_endbx;             -- finish bx
209
          ld_ccnt := '1';
210
          ce_bcnt := '1';
211
        end if;
212
 
213
      when s_endbx =>                   -- s_endbx: finish  bx ---------------
214
        ld_dcnt := '1';                 -- start next bit
215
        n.sreg := dbit & r.sreg(7 downto 1);
216
        if tc_ccnt = '1' then           -- last cycle of bx ?
217
          if tc_bcnt = '1' then
218
            n.state := s_endb9;             -- finish b9
219
            ld_bcnt := '1';                 -- and wrap bcnt
220
          else
221
            n.state := s_endbx;             -- finish bx
222
            ce_bcnt := '1';
223
          end if;
224
          ld_ccnt := '1';
225
        else                            -- continue in bx ?
226
          if tc_bcnt = '1' then
227
            n.state := s_colb9;             -- collect b9
228
          else
229
            n.state := s_colbx;             -- collect bx
230
          end if;
231
        end if;
232
 
233
      when s_colb9 =>                   -- s_colb9: collect bx (stop bit) ----
234
        if tc_ccnt = '1' then           -- last cycle of b9 ?
235
          n.state := s_endb9;             -- finish b9
236
          ld_ccnt := '1';                 -- "
237
          ld_bcnt := '1';                 -- and wrap bcnt
238
        else                            -- continue in b9 ?
239
          if dbit='1' and RXSD='1' then   -- already enough 1's ?
240
            n.state := s_idle;              -- finish to idle
241
            ld_dcnt := '1';                 -- put counters in reset
242
            ld_ccnt := '1';
243
            ld_bcnt := '1';
244
            ival := '1';
245
          end if;
246
        end if;
247
 
248
      when s_endb9 =>                   -- s_endb9: finish  bx (stop bit) ----
249
        ld_dcnt := '1';                 -- start next bit
250
        if dbit = '1' then              -- was it a valid stop bit ?
251
          ival := '1';
252
        else
253
          ierr := '1';
254
        end if;
255
        if RXSD = '1' then              -- line in idle state ?
256
          n.state := s_idle;              -- finish to idle state
257
          ld_ccnt := '1';                 -- and put counters in reset
258
          ld_bcnt := '1';                 -- "
259
        else
260
          if tc_ccnt = '1' then           -- last cycle of b9 ?
261
            n.state := s_endb0;             -- finish b0
262
            ld_ccnt := '1';                 -- "
263
            ce_bcnt := '1';
264
          else                            -- continue in b0 ?
265
            n.state := s_colb0;             -- collect bx
266
          end if;
267
        end if;
268
 
269
      when others => null;              -- -----------------------------------
270
 
271
    end case;
272
 
273
    if RESET = '1' then                 -- RESET seen
274
      ld_ccnt := '1';                     -- keep all counters in reset
275
      ld_dcnt := '1';
276
      ld_bcnt := '1';
277
      n.state := s_idle;
278
    end if;
279
 
280
    if ld_ccnt = '1' then               -- implement ccnt
281
      n.ccnt := CLKDIV;
282
    else
283 13 wfjm
      n.ccnt := slv(unsigned(r.ccnt) - 1);
284 2 wfjm
    end if;
285
 
286
    if ld_dcnt = '1' then               -- implement dcnt
287
      n.dcnt(CDWIDTH downto 1) := (others=>'0');
288
      n.dcnt(0) := RXSD;
289
    else
290
      if RXSD = '1' then
291 13 wfjm
        n.dcnt := slv(unsigned(r.dcnt) + 1);
292 2 wfjm
      end if;
293
    end if;
294
 
295
    if ld_bcnt = '1' then               -- implement bcnt
296
      n.bcnt := (others=>'0');
297
    else
298
      if ce_bcnt = '1' then
299 13 wfjm
        n.bcnt := slv(unsigned(r.bcnt) + 1);
300 2 wfjm
      end if;
301
    end if;
302
 
303
    N_REGS <= n;
304
 
305
    RXDATA  <= r.sreg;
306
    RXACT   <= iact;
307
    RXVAL   <= ival;
308
    RXERR   <= ierr;
309
 
310
  end process proc_next;
311
 
312
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.