OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [vlib/] [serport/] [serport_xonrx.vhd] - Blame information for rev 38

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 36 wfjm
-- $Id: serport_xonrx.vhd 774 2016-06-12 17:08:47Z mueller $
2 16 wfjm
--
3 35 wfjm
-- Copyright 2011-2016 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 16 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    serport_xonrx - syn
16
-- Description:    serial port: xon/xoff logic rx path
17
--
18
-- Dependencies:   -
19
-- Test bench:     -
20
-- Target Devices: generic
21 36 wfjm
-- Tool versions:  ise 13.1-14.7; viv 2014.4-2016.2; ghdl 0.29-0.33
22 16 wfjm
-- Revision History: 
23
-- Date         Rev Version  Comment
24
-- 2011-10-22   417   1.0    Initial version 
25
------------------------------------------------------------------------------
26 36 wfjm
-- NOTE: for test bench usage a copy of all serport_* entities, with _tb
27
-- !!!!  appended to the name, has been created in the /tb sub folder.
28
-- !!!!  Ensure to update the copy when this file is changed !!
29 16 wfjm
 
30
library ieee;
31
use ieee.std_logic_1164.all;
32
use ieee.numeric_std.all;
33
 
34
use work.slvtypes.all;
35 19 wfjm
use work.serportlib.all;
36 16 wfjm
 
37
entity serport_xonrx is                 -- serial port: xon/xoff logic rx path
38
  port (
39
    CLK : in slbit;                     -- clock
40
    RESET : in slbit;                   -- reset
41
    ENAXON : in slbit;                  -- enable xon/xoff handling
42
    ENAESC : in slbit;                  -- enable xon/xoff escaping
43
    UART_RXDATA : in slv8;              -- uart data out
44
    UART_RXVAL : in slbit;              -- uart data valid
45
    RXDATA : out slv8;                  -- user data out
46
    RXVAL : out slbit;                  -- user data valid
47
    RXHOLD : in slbit;                  -- user data hold
48
    RXOVR : out slbit;                  -- user data overrun
49
    TXOK : out slbit                    -- tx channel ok
50
  );
51
end serport_xonrx;
52
 
53
 
54
architecture syn of serport_xonrx is
55
 
56
  type regs_type is record
57
    txok : slbit;                       -- tx channel ok state
58
    escseen : slbit;                    -- escape seen
59
    rxdata : slv8;                      -- user rxdata
60
    rxval : slbit;                      -- user rxval
61
    rxovr : slbit;                      -- user rxovr
62
  end record regs_type;
63
 
64
  constant regs_init : regs_type := (
65
    '1',                                -- txok (startup default is ok !!)
66
    '0',                                -- escseen
67
    (others=>'0'),                      -- rxdata
68
    '0','0'                             -- rxval,rxovr
69
  );
70
 
71
  signal R_REGS : regs_type := regs_init;  -- state registers
72
  signal N_REGS : regs_type := regs_init;  -- next value state regs
73
 
74
begin
75
 
76
  proc_regs: process (CLK)
77
  begin
78
 
79
    if rising_edge(CLK) then
80
      if RESET = '1' then
81
        R_REGS <= regs_init;
82
      else
83
        R_REGS <= N_REGS;
84
      end if;
85
    end if;
86
 
87
  end process proc_regs;
88
 
89
  proc_next: process (R_REGS, ENAXON, ENAESC, UART_RXDATA, UART_RXVAL, RXHOLD)
90
 
91
    variable r : regs_type := regs_init;
92
    variable n : regs_type := regs_init;
93
 
94
  begin
95
 
96
    r := R_REGS;
97
    n := R_REGS;
98
 
99
    if ENAXON = '0' then
100
      n.txok := '1';
101
    end if;
102
    if ENAESC = '0' then
103
      n.escseen := '0';
104
    end if;
105
 
106
    n.rxovr := '0';                     -- ensure single clock pulse
107
 
108
    if UART_RXVAL = '1' then
109
      if ENAXON='1' and UART_RXDATA=c_serport_xon then
110
        n.txok := '1';
111
      elsif ENAXON='1' and UART_RXDATA=c_serport_xoff then
112
        n.txok := '0';
113
      elsif ENAESC='1' and UART_RXDATA=c_serport_xesc then
114
        n.escseen := '1';
115
 
116
      else
117
        if r.escseen = '1' then
118
          n.escseen := '0';
119
        end if;
120
 
121
        if r.rxval = '0' then
122
          n.rxval := '1';
123
          if r.escseen = '1' then
124
            n.rxdata := not UART_RXDATA;
125
          else
126
            n.rxdata := UART_RXDATA;
127
          end if;
128
        else
129
          n.rxovr := '1';
130
        end if;
131
      end if;
132
    end if;
133
 
134
    if r.rxval='1' and RXHOLD='0' then
135
      n.rxval := '0';
136
    end if;
137
 
138
    N_REGS <= n;
139
 
140
    RXDATA <= r.rxdata;
141
    RXVAL  <= r.rxval;
142
    RXOVR  <= r.rxovr;
143
    TXOK   <= r.txok;
144
 
145
  end process proc_next;
146
 
147
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.