OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [vlib/] [xlib/] [s7_cmt_sfs_gsim.vhd] - Blame information for rev 38

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 37 wfjm
-- $Id: s7_cmt_sfs_gsim.vhd 799 2016-08-21 09:20:19Z mueller $
2 29 wfjm
--
3 36 wfjm
-- Copyright 2013-2016 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 29 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    s7_cmt_sfs - sim
16
-- Description:    Series-7 CMT  for simple frequency synthesis
17
--                 simple vhdl model, without Xilinx UNISIM primitives
18
--
19
-- Dependencies:   -
20
-- Test bench:     -
21
-- Target Devices: generic Series-7
22 37 wfjm
-- Tool versions:  xst 14.5-14.7; viv 2014.4-2016.2; ghdl 0.29-0.33
23 29 wfjm
--
24
-- Revision History: 
25
-- Date         Rev Version  Comment
26 37 wfjm
-- 2016-08-18   799   1.1.1  remove 'assert false' from report statements
27 36 wfjm
-- 2016-04-09   760   1.1    BUGFIX: correct mmcm range check boundaries
28 29 wfjm
-- 2013-09-28   535   1.0    Initial version (derived from dcm_sfs_gsim)
29
------------------------------------------------------------------------------
30 35 wfjm
-- Note: for test bench usage a copy of s7_cmt_sfs_gsim, with _tb instead
31
--       of _gsim in file name, has been created in the /tb sub folder.
32
--       Ensure to update the copy when this file is changed !!
33 29 wfjm
 
34
library ieee;
35
use ieee.std_logic_1164.all;
36
 
37
use work.slvtypes.all;
38
 
39
entity s7_cmt_sfs is                    -- 7-Series CMT for simple freq. synth.
40
  generic (
41
    VCO_DIVIDE : positive := 1;         -- vco clock divide
42
    VCO_MULTIPLY : positive := 1;       -- vco clock multiply 
43
    OUT_DIVIDE : positive := 1;         -- output divide
44
    CLKIN_PERIOD : real := 10.0;        -- CLKIN period (def is 10.0 ns)
45
    CLKIN_JITTER : real := 0.01;        -- CLKIN jitter (def is 10 ps)
46
    STARTUP_WAIT : boolean := false;    -- hold FPGA startup till LOCKED
47
    GEN_TYPE : string := "PLL");        -- PLL or MMCM
48
  port (
49
    CLKIN : in slbit;                   -- clock input
50
    CLKFX : out slbit;                  -- clock output (synthesized freq.) 
51
    LOCKED : out slbit                  -- pll/mmcm locked
52
  );
53
end s7_cmt_sfs;
54
 
55
 
56
architecture sim of s7_cmt_sfs is
57
 
58
  signal CLK_DIVPULSE : slbit := '0';
59 37 wfjm
  signal CLKOUT_PERIOD : Delay_length := 0 ns;
60 29 wfjm
  signal R_CLKOUT : slbit := '0';
61
  signal R_LOCKED : slbit := '0';
62
 
63
begin
64
 
65
  proc_init : process
66
 
67
    -- currently frequency limits taken from Artix-7 speed grade -1
68
    constant f_vcomin_pll  : integer :=  800;
69
    constant f_vcomax_pll  : integer := 1600;
70
    constant f_pdmin_pll   : integer :=   19;
71
    constant f_pdmax_pll   : integer :=  450;
72
 
73
    constant f_vcomin_mmcm : integer :=  600;
74
    constant f_vcomax_mmcm : integer := 1200;
75
    constant f_pdmin_mmcm  : integer :=   10;
76
    constant f_pdmax_mmcm  : integer :=  450;
77
 
78 37 wfjm
    variable t_vco : Delay_length := 0 ns;
79
    variable t_vcomin : Delay_length := 0 ns;
80
    variable t_vcomax : Delay_length := 0 ns;
81
    variable t_pd : Delay_length := 0 ns;
82
    variable t_pdmin : Delay_length := 0 ns;
83
    variable t_pdmax : Delay_length := 0 ns;
84 29 wfjm
 
85
  begin
86
    -- validate generics
87
 
88
 
89
    if not (GEN_TYPE = "PLL" or GEN_TYPE = "MMCM") then
90 37 wfjm
      report "assert(GEN_TYPE='PLL' or GEN_TYPE='MMCM')"
91 29 wfjm
        severity failure;
92
    end if;
93
 
94
    if VCO_DIVIDE/=1 or VCO_MULTIPLY/=1 or OUT_DIVIDE/=1 then
95
 
96
      if GEN_TYPE = "PLL" then
97
        -- check DIV/MULT parameter range
98
        if VCO_DIVIDE<1   or VCO_DIVIDE>56 or
99
          VCO_MULTIPLY<2 or VCO_MULTIPLY>64 or
100
          OUT_DIVIDE<1   or OUT_DIVIDE>128
101
        then
102 37 wfjm
          report
103 29 wfjm
          "assert(VCO_DIVIDE in 1:56 VCO_MULTIPLY in 2:64 OUT_DIVIDE in 1:128)"
104
            severity failure;
105
        end if;
106
        -- setup VCO and PD range check boundaries
107
        t_vcomin := (1000 ns / f_vcomax_pll) - 1 ps;
108
        t_vcomax := (1000 ns / f_vcomin_pll) + 1 ps;
109
        t_pdmin  := (1000 ns / f_pdmax_pll) - 1 ps;
110
        t_pdmax  := (1000 ns / f_pdmin_pll) + 1 ps;
111
 
112
      end if; -- GEN_TYPE = "PLL"
113
 
114
      if GEN_TYPE = "MMCM" then
115
        -- check DIV/MULT parameter range
116
        if VCO_DIVIDE<1   or VCO_DIVIDE>106 or
117
           VCO_MULTIPLY<2 or VCO_MULTIPLY>64 or
118
           OUT_DIVIDE<1   or OUT_DIVIDE>128
119
        then
120 37 wfjm
          report
121 29 wfjm
          "assert(VCO_DIVIDE in 1:106 VCO_MULTIPLY in 2:64 OUT_DIVIDE in 1:128)"
122
            severity failure;
123
        end if;
124
        -- setup VCO and PD range check boundaries
125 36 wfjm
        t_vcomin := (1000 ns / f_vcomax_mmcm) - 1 ps;
126
        t_vcomax := (1000 ns / f_vcomin_mmcm) + 1 ps;
127
        t_pdmin  := (1000 ns / f_pdmax_mmcm) - 1 ps;
128
        t_pdmax  := (1000 ns / f_pdmin_mmcm) + 1 ps;
129 29 wfjm
 
130
      end if; -- GEN_TYPE = "MMCM"
131
 
132
      -- now common check whether VCO and PD frequency is in range
133
      t_pd  := (1 ps * (1000.0*CLKIN_PERIOD)) * VCO_DIVIDE;
134
      t_vco := t_pd / VCO_MULTIPLY;
135
 
136
      if t_vco<t_vcomin or t_vco>t_vcomax then
137 37 wfjm
        report "assert(VCO frequency out of range)"
138 29 wfjm
          severity failure;
139
      end if;
140
 
141
      if t_pd<t_pdmin or t_pd>t_pdmax then
142 37 wfjm
        report "assert(PD frequency out of range)"
143 29 wfjm
          severity failure;
144
      end if;
145
 
146
    end if;  -- one factor /= 1
147
 
148
    wait;
149
  end process proc_init;
150
 
151
  proc_clkin : process (CLKIN)
152
    variable t_lastclkin : time := 0 ns;
153 37 wfjm
    variable t_lastperiod : Delay_length := 0 ns;
154
    variable t_period : Delay_length := 0 ns;
155 29 wfjm
    variable nclkin : integer := 1;
156
  begin
157
 
158
    if CLKIN'event then
159
      if CLKIN = '1' then               -- if CLKIN rising edge
160
 
161
        if t_lastclkin > 0 ns then
162
          t_lastperiod := t_period;
163
          t_period := now - t_lastclkin;
164
          CLKOUT_PERIOD <= (t_period * VCO_DIVIDE * OUT_DIVIDE) / VCO_MULTIPLY;
165
          if t_lastperiod > 0 ns and abs(t_period-t_lastperiod) > 1 ps then
166
            report "s7_cmt_sp_sfs: CLKIN unstable" severity warning;
167
          end if;
168
        end if;
169
        t_lastclkin := now;
170
 
171
        if t_period > 0 ns then
172
          nclkin := nclkin - 1;
173
          if nclkin <= 0 then
174
            nclkin := VCO_DIVIDE * OUT_DIVIDE;
175
            CLK_DIVPULSE <= '1';
176
            R_LOCKED     <= '1';
177
          end if;
178
        end if;
179
 
180
      else                              -- if CLKIN falling edge
181
        CLK_DIVPULSE <= '0';
182
      end if;
183
    end if;
184
 
185
  end process proc_clkin;
186
 
187
  proc_clkout : process
188
    variable t_lastclkin : time := 0 ns;
189 37 wfjm
    variable t_lastperiod : Delay_length := 0 ns;
190
    variable t_period : Delay_length := 0 ns;
191 29 wfjm
    variable nclkin : integer := 1;
192
  begin
193
 
194
    loop
195
      wait until CLK_DIVPULSE = '1';
196
 
197
      for i in 1 to VCO_MULTIPLY loop
198
        R_CLKOUT <= '1';
199
        wait for CLKOUT_PERIOD/2;
200
        R_CLKOUT <= '0';
201
        if i /= VCO_MULTIPLY then
202
          wait for CLKOUT_PERIOD/2;
203
        end if;
204
      end loop;  -- i
205
 
206
    end loop;
207
 
208
  end process proc_clkout;
209
 
210
  CLKFX  <= R_CLKOUT;
211
  LOCKED <= R_LOCKED;
212
 
213
end sim;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.