OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [vlib/] [xlib/] [usr_access_unisim.vhd] - Blame information for rev 38

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 36 wfjm
-- $Id: usr_access_unisim.vhd 758 2016-04-02 18:01:39Z mueller $
2
--
3
-- Copyright 2016- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    usr_access_unisim - syn
16
-- Description:    Wrapper for USR_ACCESS* entities
17
--
18
-- Dependencies:   -
19
-- Test bench:     -
20
-- Target Devices: generic Series-7
21
-- Tool versions:  viv 2015.4; ghdl 0.33
22
--
23
-- Revision History: 
24
-- Date         Rev Version  Comment
25
-- 2016-04-02   758   1.0    Initial version 
26
------------------------------------------------------------------------------
27
 
28
library ieee;
29
use ieee.std_logic_1164.all;
30
 
31
library unisim;
32
use unisim.vcomponents.ALL;
33
 
34
use work.slvtypes.all;
35
 
36
entity usr_access_unisim is             -- wrapper for USR_ACCESS family
37
  port (
38
    DATA : out slv32                    -- usr_access register value
39
  );
40
end usr_access_unisim;
41
 
42
 
43
architecture syn of usr_access_unisim is
44
 
45
  signal DATA_RAW : slv32 := (others=>'0');
46
 
47
begin
48
 
49
  UA : USR_ACCESSE2
50
    port map (
51
      CFGCLK    => open,
52
      DATA      => DATA_RAW,
53
      DATAVALID => open
54
    );
55
 
56
  -- the USR_ACCESSE2 simulation model unfortunately returns always 'UUUU'
57
  -- no way to configure it for reasonable simulation behavior
58
  -- there this sanitiser
59
  proc_data: process (DATA_RAW)
60
    variable idata : slv32 := (others=>'0');
61
  begin
62
    idata := to_x01(DATA_RAW);
63
    if is_x(idata) then
64
      idata := (others=>'0');
65
    end if;
66
    DATA <= idata;
67
  end process proc_data;
68
 
69
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.