OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [w11a/] [pdp11_core.vhd] - Blame information for rev 37

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 37 wfjm
-- $Id: pdp11_core.vhd 812 2016-10-03 18:39:50Z mueller $
2 2 wfjm
--
3 37 wfjm
-- Copyright 2006-2016 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    pdp11_core - syn
16
-- Description:    pdp11: full processor core
17
--
18
-- Dependencies:   pdp11_vmbox
19
--                 pdp11_dpath
20
--                 pdp11_decode
21
--                 pdp11_sequencer
22
--                 pdp11_irq
23 30 wfjm
--                 pdp11_reg70
24 2 wfjm
--                 ibus/ib_sres_or_4
25
--
26 9 wfjm
-- Test bench:     tb/tb_pdp11core
27
--                 tb/tb_rlink_tba_pdp11core
28 2 wfjm
--
29
-- Target Devices: generic
30 37 wfjm
-- Tool versions:  ise 8.2-14.7; viv 2014.4-2016.2; ghdl 0.18-0.33
31 2 wfjm
-- Revision History: 
32
-- Date         Rev Version  Comment
33 37 wfjm
-- 2016-10-03   812   1.4.3  drop SNUM signal, not used anymore
34 34 wfjm
-- 2015-07-19   702   1.4.2  add DM_STAT_SE port; re-arrange DM_STAT_CO usage
35
-- 2015-07-05   697   1.4.1  wire istart,istop,cpustep to DM_STAT_CO
36
-- 2015-06-26   695   1.4.1  connect SNUM (current state number)
37 30 wfjm
-- 2015-05-09   679   1.4    start/stop/suspend overhaul; reset overhaul
38
-- 2015-04-30   670   1.3.2  rename pdp11_sys70 -> pdp11_reg70
39 13 wfjm
-- 2011-11-18   427   1.3.1  now numeric_std clean
40 2 wfjm
-- 2010-06-13   305   1.3    add CP_ADDR in port; drop R_CPDIN, R_CPOUT; _vmbox
41
--                           CP_ADDR now from in port; dpath CP_DIN now from in
42
--                           port; out port CP_DOUT now from _dpath
43
-- 2009-05-30   220   1.2.5  final removal of snoopers (were already commented)
44
-- 2008-08-22   161   1.2.4  rename pdp11_ibres_ -> ib_sres_
45
-- 2008-04-25   138   1.2.3  BRESET: add for _vmbox, use for _irq
46
-- 2008-04-19   137   1.2.2  add DM_STAT_(DP|VM|CO) port; added pdp11_sys70
47
-- 2008-03-02   121   1.2.1  remove snoopers
48
-- 2008-02-17   117   1.2    add em_(mreq|sres) interface for memory
49
-- 2008-01-20   112   1.1.3  add BRESET port (intbus reset), rename P->BRESET
50
-- 2008-01-06   111   1.1.2  rename signal EI_ACK->EI_ACKM (master ack)
51
-- 2008-01-01   109   1.1.1  _vmbox w/ IB_SRES_(CPU|EXT)
52
-- 2007-12-30   107   1.1    use IB_MREQ/IB_SRES interface now; remove DMA port
53
-- 2007-07-15    66   1.0.3  rename pdp11_top -> pdp11_core
54
-- 2007-07-02    63   1.0.2  reordered ports on pdp11_top (by function, not i/o)
55
-- 2007-06-14    56   1.0.1  Use slvtypes.all
56
-- 2007-05-12    26   1.0    Initial version 
57
------------------------------------------------------------------------------
58
 
59
library ieee;
60
use ieee.std_logic_1164.all;
61 13 wfjm
use ieee.numeric_std.all;
62 2 wfjm
 
63
use work.slvtypes.all;
64
use work.iblib.all;
65
use work.pdp11.all;
66
 
67
-- ----------------------------------------------------------------------------
68
 
69
entity pdp11_core is                    -- full processor core
70
  port (
71
    CLK : in slbit;                     -- clock
72
    RESET : in slbit;                   -- reset
73
    CP_CNTL : in cp_cntl_type;          -- console control port
74
    CP_ADDR : in cp_addr_type;          -- console address port
75
    CP_DIN : in slv16;                  -- console data in
76
    CP_STAT : out cp_stat_type;         -- console status port
77
    CP_DOUT : out slv16;                -- console data out
78 30 wfjm
    ESUSP_O : out slbit;                -- external suspend output
79
    ESUSP_I : in slbit;                 -- external suspend input
80
    ITIMER : out slbit;                 -- instruction timer
81 34 wfjm
    HBPT : in slbit;                    -- hardware bpt
82 2 wfjm
    EI_PRI : in slv3;                   -- external interrupt priority
83
    EI_VECT : in slv9_2;                -- external interrupt vector
84
    EI_ACKM : out slbit;                -- external interrupt acknowledge
85
    EM_MREQ : out em_mreq_type;         -- external memory: request
86
    EM_SRES : in em_sres_type;          -- external memory: response
87 30 wfjm
    CRESET : out slbit;                 -- cpu reset
88
    BRESET : out slbit;                 -- bus reset
89
    IB_MREQ_M : out ib_mreq_type;       -- ibus master request (master)
90
    IB_SRES_M : in ib_sres_type;        -- ibus slave response (master)
91 34 wfjm
    DM_STAT_SE : out dm_stat_se_type;   -- debug and monitor status - sequencer
92 2 wfjm
    DM_STAT_DP : out dm_stat_dp_type;   -- debug and monitor status - dpath
93
    DM_STAT_VM : out dm_stat_vm_type;   -- debug and monitor status - vmbox
94
    DM_STAT_CO : out dm_stat_co_type    -- debug and monitor status - core
95
  );
96
end pdp11_core;
97
 
98
architecture syn of pdp11_core is
99
 
100
  signal GRESET : slbit := '0';
101 30 wfjm
  signal CRESET_L : slbit := '0';
102 2 wfjm
  signal BRESET_L : slbit := '0';
103
  signal VM_CNTL : vm_cntl_type := vm_cntl_init;
104
  signal VM_STAT : vm_stat_type := vm_stat_init;
105
  signal MMU_MONI : mmu_moni_type := mmu_moni_init;
106
  signal DP_CNTL : dpath_cntl_type := dpath_cntl_init;
107
  signal DP_STAT : dpath_stat_type := dpath_stat_init;
108
  signal DP_PSW : psw_type := psw_init;
109
  signal DP_PC : slv16 := (others=>'0');
110
  signal DP_IREG : slv16 := (others=>'0');
111
  signal VM_DIN : slv16 := (others=>'0');
112
  signal VM_ADDR : slv16 := (others=>'0');
113
  signal VM_DOUT : slv16 := (others=>'0');
114
  signal ID_STAT : decode_stat_type := decode_stat_init;
115
  signal INT_PRI : slv3 := (others=>'0');
116
  signal INT_VECT : slv9_2 := (others=>'0');
117
  signal CP_STAT_L : cp_stat_type := cp_stat_init;
118
  signal INT_ACK : slbit := '0';
119
 
120
  signal IB_SRES_DP : ib_sres_type := ib_sres_init;
121
  signal IB_SRES_SEQ : ib_sres_type := ib_sres_init;
122
  signal IB_SRES_IRQ : ib_sres_type := ib_sres_init;
123
  signal IB_SRES_SYS : ib_sres_type := ib_sres_init;
124
 
125
  signal IB_MREQ : ib_mreq_type := ib_mreq_init; -- ibus request  (local)
126
  signal IB_SRES : ib_sres_type := ib_sres_init; -- ibus response (local)
127
 
128
begin
129
 
130
  GRESET   <= RESET;
131
 
132
  VMBOX : pdp11_vmbox
133
    port map (
134
      CLK       => CLK,
135
      GRESET    => GRESET,
136 30 wfjm
      CRESET    => CRESET_L,
137 2 wfjm
      BRESET    => BRESET_L,
138
      CP_ADDR   => CP_ADDR,
139
      VM_CNTL   => VM_CNTL,
140
      VM_ADDR   => VM_ADDR,
141
      VM_DIN    => VM_DIN,
142
      VM_STAT   => VM_STAT,
143
      VM_DOUT   => VM_DOUT,
144
      EM_MREQ   => EM_MREQ,
145
      EM_SRES   => EM_SRES,
146
      MMU_MONI  => MMU_MONI,
147
      IB_MREQ_M => IB_MREQ,
148
      IB_SRES_CPU => IB_SRES,
149
      IB_SRES_EXT => IB_SRES_M,
150
      DM_STAT_VM  => DM_STAT_VM
151
    );
152
 
153
  DPATH : pdp11_dpath
154
    port map (
155
      CLK     => CLK,
156 30 wfjm
      CRESET  => CRESET_L,
157 2 wfjm
      CNTL    => DP_CNTL,
158
      STAT    => DP_STAT,
159
      CP_DIN  => CP_DIN,
160
      CP_DOUT => CP_DOUT,
161
      PSWOUT  => DP_PSW,
162
      PCOUT   => DP_PC,
163
      IREG    => DP_IREG,
164
      VM_ADDR => VM_ADDR,
165
      VM_DOUT => VM_DOUT,
166
      VM_DIN  => VM_DIN,
167
      IB_MREQ => IB_MREQ,
168
      IB_SRES => IB_SRES_DP,
169
      DM_STAT_DP => DM_STAT_DP
170
    );
171
 
172
  IDEC : pdp11_decode
173
    port map (
174
      IREG => DP_IREG,
175
      STAT => ID_STAT
176
    );
177
 
178
  SEQ : pdp11_sequencer
179
    port map (
180
      CLK       => CLK,
181
      GRESET    => GRESET,
182
      PSW       => DP_PSW,
183
      PC        => DP_PC,
184
      IREG      => DP_IREG,
185
      ID_STAT   => ID_STAT,
186
      DP_STAT   => DP_STAT,
187
      CP_CNTL   => CP_CNTL,
188
      VM_STAT   => VM_STAT,
189
      INT_PRI   => INT_PRI,
190
      INT_VECT  => INT_VECT,
191 30 wfjm
      INT_ACK   => INT_ACK,
192
      CRESET    => CRESET_L,
193
      BRESET    => BRESET_L,
194 2 wfjm
      MMU_MONI  => MMU_MONI,
195
      DP_CNTL   => DP_CNTL,
196
      VM_CNTL   => VM_CNTL,
197
      CP_STAT   => CP_STAT_L,
198 30 wfjm
      ESUSP_O   => ESUSP_O,
199
      ESUSP_I   => ESUSP_I,
200
      ITIMER    => ITIMER,
201 34 wfjm
      HBPT      => HBPT,
202 2 wfjm
      IB_MREQ   => IB_MREQ,
203 34 wfjm
      IB_SRES   => IB_SRES_SEQ,
204
      DM_STAT_SE => DM_STAT_SE
205 2 wfjm
    );
206
 
207
  IRQ : pdp11_irq
208
    port map (
209
      CLK     => CLK,
210
      BRESET  => BRESET_L,
211
      INT_ACK => INT_ACK,
212
      EI_PRI  => EI_PRI,
213
      EI_VECT => EI_VECT,
214
      EI_ACKM => EI_ACKM,
215
      PRI     => INT_PRI,
216
      VECT    => INT_VECT,
217
      IB_MREQ => IB_MREQ,
218
      IB_SRES => IB_SRES_IRQ
219
    );
220
 
221 30 wfjm
  REG70 : pdp11_reg70
222 2 wfjm
    port map (
223
      CLK     => CLK,
224 30 wfjm
      CRESET  => CRESET_L,
225 2 wfjm
      IB_MREQ => IB_MREQ,
226
      IB_SRES => IB_SRES_SYS
227
    );
228
 
229
  IB_SRES_OR : ib_sres_or_4
230
    port map (
231
      IB_SRES_1  => IB_SRES_DP,
232
      IB_SRES_2  => IB_SRES_SEQ,
233
      IB_SRES_3  => IB_SRES_IRQ,
234
      IB_SRES_4  => IB_SRES_SYS,
235
      IB_SRES_OR => IB_SRES
236
    );
237
 
238
  IB_MREQ_M <= IB_MREQ;
239
 
240
  CP_STAT <= CP_STAT_L;
241
 
242 30 wfjm
  CRESET  <= CRESET_L;
243 2 wfjm
  BRESET  <= BRESET_L;
244
 
245 30 wfjm
  DM_STAT_CO.cpugo    <= CP_STAT_L.cpugo;
246 34 wfjm
  DM_STAT_CO.cpustep  <= CP_STAT_L.cpustep;
247 30 wfjm
  DM_STAT_CO.cpususp  <= CP_STAT_L.cpususp;
248
  DM_STAT_CO.suspint  <= CP_STAT_L.suspint;
249
  DM_STAT_CO.suspext  <= CP_STAT_L.suspext;
250 2 wfjm
 
251
end syn;
252
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.