OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [w11a/] [pdp11_hio70.vhd] - Blame information for rev 38

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 35 wfjm
-- $Id: pdp11_hio70.vhd 737 2016-02-28 09:07:18Z mueller $
2 30 wfjm
--
3
-- Copyright 2015- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    pdp11_hio70 - syn
16
-- Description:    pdp11: hio led and dsp for sys70
17
--
18 35 wfjm
-- Dependencies:   pdp11_statleds
19
--                 pdp11_ledmux
20
--                 pdp11_dspmux
21 30 wfjm
-- Test bench:     -
22
-- Target Devices: generic
23 35 wfjm
-- Tool versions:  ise 14.7; viv 2014.4-2015.4; ghdl 0.31
24 30 wfjm
--
25
-- Revision History: 
26
-- Date         Rev Version  Comment
27
-- 2015-05-01   672   1.0    Initial version (extracted from sys_w11a_*)
28
------------------------------------------------------------------------------
29
 
30
library ieee;
31
use ieee.std_logic_1164.all;
32
use ieee.numeric_std.all;
33
 
34
use work.slvtypes.all;
35
use work.pdp11.all;
36
 
37
-- ----------------------------------------------------------------------------
38
 
39
entity pdp11_hio70 is                   -- hio led and dsp for sys70
40
  generic (
41
    LWIDTH : positive := 8;             -- led width
42
    DCWIDTH : positive := 2);           -- digit counter width (2 or 3)
43
  port (
44
    SEL_LED : in slbit;                 -- led select (0=stat;1=dr)
45
    SEL_DSP : in slv2;                  -- dsp select
46
    MEM_ACT_R : in slbit;               -- memory active read
47
    MEM_ACT_W : in slbit;               -- memory active write
48
    CP_STAT : in cp_stat_type;          -- console port status
49
    DM_STAT_DP : in dm_stat_dp_type;    -- debug and monitor status
50
    ABCLKDIV : in slv16;                -- serport clock divider
51
    DISPREG : in slv16;                 -- display register
52
    LED : out slv(LWIDTH-1 downto 0);   -- hio leds
53
    DSP_DAT : out slv(4*(2**DCWIDTH)-1 downto 0)  -- display data
54
  );
55
end pdp11_hio70;
56
 
57
architecture syn of pdp11_hio70 is
58
 
59
  signal STATLEDS :  slv8 := (others=>'0');
60
 
61
begin
62
 
63
  LED_CPU : pdp11_statleds
64
    port map (
65
      MEM_ACT_R  => MEM_ACT_R,
66
      MEM_ACT_W  => MEM_ACT_W,
67
      CP_STAT    => CP_STAT,
68
      DM_STAT_DP => DM_STAT_DP,
69
      STATLEDS   => STATLEDS
70
    );
71
 
72
  LED_MUX : pdp11_ledmux
73
    generic map (
74
      LWIDTH => LWIDTH)
75
    port map (
76
      SEL        => SEL_LED,
77
      STATLEDS   => STATLEDS,
78
      DM_STAT_DP => DM_STAT_DP,
79
      LED        => LED
80
    );
81
 
82
  DSP_MUX : pdp11_dspmux
83
    generic map (
84
      DCWIDTH => DCWIDTH)
85
    port map (
86
      SEL        => SEL_DSP,
87
      ABCLKDIV   => ABCLKDIV,
88
      DM_STAT_DP => DM_STAT_DP,
89
      DISPREG    => DISPREG,
90
      DSP_DAT    => DSP_DAT
91
    );
92
 
93
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.