OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [w11a/] [pdp11_tmu_sb.vhd] - Blame information for rev 38

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 34 wfjm
-- $Id: pdp11_tmu_sb.vhd 712 2015-11-01 22:53:45Z mueller $
2 2 wfjm
--
3 34 wfjm
-- Copyright 2009-2015 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    pdp11_tmu - sim
16
-- Description:    pdp11: trace and monitor unit; simbus wrapper
17
--
18
-- Dependencies:   simbus
19
-- Test bench:     -
20 29 wfjm
-- Tool versions:  xst 8.1-14.7; ghdl 0.18-0.31
21 2 wfjm
-- Revision History: 
22
-- Date         Rev Version  Comment
23 34 wfjm
-- 2015-11-01   712   1.0.1  use sbcntl_sbf_tmu
24 2 wfjm
-- 2009-05-10   214   1.0    Initial version 
25
------------------------------------------------------------------------------
26
 
27
library ieee;
28
use ieee.std_logic_1164.all;
29
 
30
use work.slvtypes.all;
31
use work.simlib.all;
32
use work.simbus.all;
33
use work.pdp11.all;
34
 
35
entity pdp11_tmu_sb is                  -- trace and mon. unit; simbus wrapper
36
  generic (
37 34 wfjm
    ENAPIN : integer := sbcntl_sbf_tmu); -- SB_CNTL for tmu
38 2 wfjm
  port (
39
    CLK : in slbit;                     -- clock
40 30 wfjm
    DM_STAT_DP : in dm_stat_dp_type;    -- debug and monitor status - dpath
41
    DM_STAT_VM : in dm_stat_vm_type;    -- debug and monitor status - vmbox
42
    DM_STAT_CO : in dm_stat_co_type;    -- debug and monitor status - core
43
    DM_STAT_SY : in dm_stat_sy_type     -- debug and monitor status - system
44 2 wfjm
  );
45
end pdp11_tmu_sb;
46
 
47
 
48
architecture sim of pdp11_tmu_sb is
49
 
50
  signal ENA : slbit := '0';
51
 
52
begin
53
 
54
  assert ENAPIN>=SB_CNTL'low and ENAPIN<=SB_CNTL'high
55
    report "assert(ENAPIN in SB_CNTL'range)" severity failure;
56
 
57
  ENA <= to_x01(SB_CNTL(ENAPIN));
58
 
59
  CPMON : pdp11_tmu
60
    port map (
61
      CLK        => CLK,
62
      ENA        => ENA,
63
      DM_STAT_DP => DM_STAT_DP,
64
      DM_STAT_VM => DM_STAT_VM,
65
      DM_STAT_CO => DM_STAT_CO,
66
      DM_STAT_SY => DM_STAT_SY
67
    );
68
 
69
end sim;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.