OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [w11a/] [tb/] [tbd_pdp11core.vhd] - Blame information for rev 38

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 34 wfjm
-- $Id: tbd_pdp11core.vhd 712 2015-11-01 22:53:45Z mueller $
2 2 wfjm
--
3 30 wfjm
-- Copyright 2007-2015 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15 9 wfjm
-- Module Name:    tbd_pdp11core - syn
16 2 wfjm
-- Description:    Wrapper for pdp11_core to avoid records. It has a port
17
--                 interface which will not be modified by xst synthesis
18
--                 (no records, no generic port).
19
--
20
-- Dependencies:   genlib/clkdivce
21
--                 pdp11_core
22
--                 pdp11_bram
23
--                 ibus/ibdr_minisys
24
--                 pdp11_tmu_sb           [sim only]
25
--
26
-- To test:        pdp11_core
27
--
28
-- Target Devices: generic
29 29 wfjm
-- Tool versions:  xst 8.2-14.7; ghdl 0.18-0.31
30 2 wfjm
--
31
-- Synthesized (xst):
32
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
33
-- 2010-06-13   305  11.4   L68  xc3s1000-4   601 2504  206 1428 s 18.6
34
-- 2008-03-01   120  8.2.03 I34  xc3s1000-4   679 2562  206 1465 s 18.5
35
-- 2008-01-06   111  8.2.03 I34  xc3s1000-4   605 2324  164 1297 s 18.7
36
-- 2007-12-30   107  8.2.03 I34  xc3s1000-4   536 2119  119 1184 s 19.3
37
-- 2007-10-27    92  9.2.02 J39  xc3s1000-4  INTERNAL_ERROR -> blog_webpack
38
-- 2007-10-27    92  9.1    J30  xc3s1000-4   503 2021  119    - t 18.7
39
-- 2007-10-27    92  8.2.03 I34  xc3s1000-4   534 2091  119 1170 s 19.3
40
-- 2007-10-27    92  8.1.03 I27  xc3s1000-4   557 2186  119    - s 18.6 
41
--
42
-- Revision History: 
43
-- Date         Rev Version  Comment
44 34 wfjm
-- 2015-11-01   712   1.6.2  use sbcntl_sbf_tmu
45
-- 2015-07-03   697   1.6.1  adapt to new DM_STAT_(SY|VM)
46 30 wfjm
-- 2015-05-03   674   1.6    start/stop/suspend overhaul
47 13 wfjm
-- 2011-11-18   427   1.5.1  now numeric_std clean
48 9 wfjm
-- 2010-12-30   351   1.5    rename tbd_pdp11_core -> tbd_pdp11core
49 8 wfjm
-- 2010-10-23   335   1.4.2  rename RRI_LAM->RB_LAM;
50 2 wfjm
-- 2010-06-20   307   1.4.1  add CP_ADDR_racc, CP_ADDR_be port
51
-- 2010-06-13   305   1.4    add CP_ADDR_... in ports; add CP_CNTL_rnum in port
52
-- 2010-06-11   303   1.3.9  use IB_MREQ.racc instead of RRI_REQ
53
-- 2009-07-12   233   1.3.8  adapt to ibdr_minisys interface changes
54
-- 2009-05-10   214   1.3.7  use pdp11_tmu_sb instead of pdp11_tmu
55
-- 2008-08-22   161   1.3.6  use iblib, ibdlib
56
-- 2008-05-03   143   1.3.5  rename _cpursta->_cpurust
57
-- 2008-04-27   140   1.3.4  use cpursta interface, remove cpufail
58
-- 2008-04-19   137   1.3.3  add DM_STAT_(DP|VM|CO|SY) signals, add pdp11_tmu
59
-- 2008-04-18   136   1.3.2  add RESET for ibdr_minisys
60
-- 2008-02-23   118   1.3.1  use sys_conf for bram size
61
-- 2008-02-17   117   1.3    adapt to em_ core interface; use pdp11_bram
62
-- 2008-01-20   112   1.2.1  rename clkgen->clkdivce; use ibdr_minisys, BRESET;
63
-- 2008-01-06   111   1.2    add some external devices: KW11L, DL11, RK11
64
-- 2007-12-30   107   1.1    use IB_MREQ/IB_SRES interface now; remove DMA port
65
-- 2007-09-23    85   1.0    Initial version 
66
------------------------------------------------------------------------------
67
 
68
library ieee;
69
use ieee.std_logic_1164.all;
70 13 wfjm
use ieee.numeric_std.all;
71 2 wfjm
 
72
use work.slvtypes.all;
73
use work.genlib.all;
74
use work.iblib.all;
75
use work.ibdlib.all;
76
use work.pdp11.all;
77
use work.sys_conf.all;
78
 
79 9 wfjm
entity tbd_pdp11core is               -- full core [no records]
80 2 wfjm
  port (
81
    CLK : in slbit;                   -- clock
82
    RESET : in slbit;                 -- reset
83
    CP_CNTL_req : in slbit;           -- console control port
84
    CP_CNTL_func : in slv5;           -- console control port
85
    CP_CNTL_rnum : in slv3;           -- console control port
86
    CP_ADDR_addr : in slv22_1;        -- console address port
87
    CP_ADDR_racc : in slbit;          -- console address port
88
    CP_ADDR_be   : in slv2;           -- console address port
89
    CP_ADDR_ena_22bit : in slbit;     -- console address port
90
    CP_ADDR_ena_ubmap : in slbit;     -- console address port
91
    CP_DIN : in slv16;                -- console data in
92
    CP_STAT_cmdbusy : out slbit;      -- console status port
93
    CP_STAT_cmdack : out slbit;       -- console status port
94
    CP_STAT_cmderr : out slbit;       -- console status port
95
    CP_STAT_cmdmerr : out slbit;      -- console status port
96
    CP_STAT_cpugo : out slbit;        -- console status port
97
    CP_STAT_cpustep : out slbit;      -- console status port
98 30 wfjm
    CP_STAT_cpuwait : out slbit;      -- console status port
99
    CP_STAT_cpususp : out slbit;      -- console status port
100 2 wfjm
    CP_STAT_cpurust : out slv4;       -- console status port
101 30 wfjm
    CP_STAT_suspint : out slbit;      -- console status port
102
    CP_STAT_suspext : out slbit;      -- console status port
103 2 wfjm
    CP_DOUT : out slv16               -- console data out
104
  );
105 9 wfjm
end tbd_pdp11core;
106 2 wfjm
 
107
 
108 9 wfjm
architecture syn of tbd_pdp11core is
109 2 wfjm
 
110
  signal CE_USEC : slbit := '0';
111
 
112
  signal EI_PRI  : slv3 := (others=>'0');
113
  signal EI_VECT : slv9_2 := (others=>'0');
114
  signal EI_ACKM : slbit := '0';
115
 
116
  signal CP_CNTL : cp_cntl_type := cp_cntl_init;
117
  signal CP_ADDR : cp_addr_type := cp_addr_init;
118
  signal CP_STAT : cp_stat_type := cp_stat_init;
119
 
120
  signal EM_MREQ : em_mreq_type := em_mreq_init;
121
  signal EM_SRES : em_sres_type := em_sres_init;
122
 
123
  signal BRESET  : slbit := '0';
124
  signal IB_MREQ_M : ib_mreq_type := ib_mreq_init;
125
  signal IB_SRES_M : ib_sres_type := ib_sres_init;
126
 
127
  signal DM_STAT_DP : dm_stat_dp_type := dm_stat_dp_init;
128
  signal DM_STAT_VM : dm_stat_vm_type := dm_stat_vm_init;
129
  signal DM_STAT_CO : dm_stat_co_type := dm_stat_co_init;
130
  signal DM_STAT_SY : dm_stat_sy_type := dm_stat_sy_init;
131
 
132
begin
133
 
134
  CP_CNTL.req  <= CP_CNTL_req;
135
  CP_CNTL.func <= CP_CNTL_func;
136
  CP_CNTL.rnum <= CP_CNTL_rnum;
137
 
138
  CP_ADDR.addr      <= CP_ADDR_addr;
139
  CP_ADDR.racc      <= CP_ADDR_racc;
140
  CP_ADDR.be        <= CP_ADDR_be;
141
  CP_ADDR.ena_22bit <= CP_ADDR_ena_22bit;
142
  CP_ADDR.ena_ubmap <= CP_ADDR_ena_ubmap;
143
 
144
  CP_STAT_cmdbusy <= CP_STAT.cmdbusy;
145
  CP_STAT_cmdack  <= CP_STAT.cmdack;
146
  CP_STAT_cmderr  <= CP_STAT.cmderr;
147
  CP_STAT_cmdmerr <= CP_STAT.cmdmerr;
148
  CP_STAT_cpugo   <= CP_STAT.cpugo;
149
  CP_STAT_cpustep <= CP_STAT.cpustep;
150 30 wfjm
  CP_STAT_cpuwait <= CP_STAT.cpuwait;
151
  CP_STAT_cpususp <= CP_STAT.cpususp;
152 2 wfjm
  CP_STAT_cpurust <= CP_STAT.cpurust;
153 30 wfjm
  CP_STAT_suspint <= CP_STAT.suspint;
154
  CP_STAT_suspext <= CP_STAT.suspext;
155 2 wfjm
 
156
  CLKDIV : clkdivce
157
    generic map (
158
      CDUWIDTH => 6,
159
      USECDIV => 50,
160
      MSECDIV => 1000)
161
    port map (
162
      CLK     => CLK,
163
      CE_USEC => CE_USEC,
164
      CE_MSEC => open
165
    );
166
 
167
  PDP11 : pdp11_core
168
    port map (
169
      CLK     => CLK,
170
      RESET   => RESET,
171
      CP_CNTL => CP_CNTL,
172
      CP_ADDR => CP_ADDR,
173
      CP_DIN  => CP_DIN,
174
      CP_STAT => CP_STAT,
175
      CP_DOUT => CP_DOUT,
176 30 wfjm
      ESUSP_O => open,                  -- not tested
177
      ESUSP_I => '0',                   -- dito
178
      ITIMER  => open,                  -- dito
179 34 wfjm
      HBPT    => '0',                   -- dito
180 2 wfjm
      EI_PRI  => EI_PRI,
181
      EI_VECT => EI_VECT,
182
      EI_ACKM => EI_ACKM,
183
      EM_MREQ => EM_MREQ,
184
      EM_SRES => EM_SRES,
185
      BRESET  => BRESET,
186
      IB_MREQ_M  => IB_MREQ_M,
187
      IB_SRES_M  => IB_SRES_M,
188
      DM_STAT_DP => DM_STAT_DP,
189
      DM_STAT_VM => DM_STAT_VM,
190
      DM_STAT_CO => DM_STAT_CO
191
    );
192
 
193
  MEM : pdp11_bram
194
    generic map (
195
      AWIDTH => sys_conf_bram_awidth)
196
    port map (
197
      CLK     => CLK,
198
      GRESET  => RESET,
199
      EM_MREQ => EM_MREQ,
200
      EM_SRES => EM_SRES
201
    );
202
 
203
  IBDR_SYS : ibdr_minisys
204
    port map (
205
      CLK      => CLK,
206
      CE_USEC  => CE_USEC,
207
      CE_MSEC  => CE_USEC,              -- !! in test benches msec = usec !!
208
      RESET    => RESET,
209
      BRESET   => BRESET,
210 8 wfjm
      RB_LAM   => open,
211 2 wfjm
      IB_MREQ  => IB_MREQ_M,
212
      IB_SRES  => IB_SRES_M,
213
      EI_ACKM  => EI_ACKM,
214
      EI_PRI   => EI_PRI,
215
      EI_VECT  => EI_VECT,
216
      DISPREG  => open
217
    );
218
 
219 34 wfjm
  DM_STAT_SY.chit   <= '0';
220
 
221 2 wfjm
-- synthesis translate_off
222
  TMU : pdp11_tmu_sb
223
    generic map (
224 34 wfjm
      ENAPIN => sbcntl_sbf_tmu)
225 2 wfjm
     port map (
226
      CLK        => CLK,
227
      DM_STAT_DP => DM_STAT_DP,
228
      DM_STAT_VM => DM_STAT_VM,
229
      DM_STAT_CO => DM_STAT_CO,
230
      DM_STAT_SY => DM_STAT_SY
231
    );
232
 
233
-- synthesis translate_on
234
 
235
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.