OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [w11a/] [tb/] [tbd_tba_pdp11core.vhd] - Blame information for rev 40

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 37 wfjm
-- $Id: tbd_tba_pdp11core.vhd 698 2015-07-05 21:20:18Z mueller $
2
--
3
-- Copyright 2008-2015 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    tbd_tba_pdp11core - syn
16
-- Description:    tbd for testing pdp11_core_rbus plus ibdr_minisys
17
--
18
-- Dependencies:   genlib/clkdivce
19
--                 pdp11_core_rbus
20
--                 pdp11_core
21
--                 pdp11_bram
22
--                 ibus/ibdr_minisys
23
--                 rbus/rb_sres_or_2
24
--
25
-- Test bench:     tb_rlink_tba_pdp11core
26
--
27
-- Target Devices: generic
28
--
29
-- Synthesized (xst):
30
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
31
--
32
-- Tool versions:  xst 8.2-14.7; ghdl 0.18-0.31
33
-- Revision History: 
34
-- Date         Rev Version  Comment
35
-- 2015-05-09   677   1.6    start/stop/suspend overhaul; reset overhaul
36
-- 2014-08-28   588   1.5.1  use new rlink v4 iface and 4 bit STAT
37
-- 2014-08-15   583   1.5    rb_mreq addr now 16 bit
38
-- 2011-11-18   427   1.4.1  now numeric_std clean
39
-- 2010-12-30   351   1.4    renamed from tbd_pdp11core_rri; rbv3 port;
40
-- 2010-10-23   335   1.3.2  rename RRI_LAM->RB_LAM;
41
-- 2010-06-18   306   1.3.1  rename RB_ADDR->RB_ADDR_CORE, add RB_ADDR_IBUS;
42
--                           remove pdp11_ibdr_rri
43
-- 2010-06-11   303   1.3    use IB_MREQ.racc instead of RRI_REQ
44
-- 2010-05-02   287   1.2.1  rename RP_STAT->RB_STAT,AP_LAM->RB_LAM
45
-- 2010-05-01   285   1.2    port to rri V2 interface
46
-- 2009-07-12   233   1.1.4  adapt to ibdr_minisys interface changes
47
-- 2008-08-22   161   1.1.3  use iblib, ibdlib
48
-- 2008-04-18   136   1.1.2  add RESET for ibdr_minisys
49
-- 2008-02-23   118   1.1.1  use sys_conf for bram size
50
-- 2008-02-17   117   1.1    adapt to em_ core interface; use pdp11_bram
51
-- 2008-01-20   113   1.0    Initial version (factored out from rrirp_pdp11core,
52
--                           add rri access to ibdr now)
53
------------------------------------------------------------------------------
54
 
55
library ieee;
56
use ieee.std_logic_1164.all;
57
use ieee.numeric_std.all;
58
 
59
use work.slvtypes.all;
60
use work.genlib.all;
61
use work.iblib.all;
62
use work.ibdlib.all;
63
use work.pdp11.all;
64
use work.sys_conf.all;
65
use work.rblib.all;
66
 
67
entity tbd_tba_pdp11core is             -- tbd pdp11_core_rbus plus ibdr_minisys
68
                                        -- implements rbtba_aif
69
  port (
70
    CLK  : in slbit;                    -- clock
71
    RESET  : in slbit;                  -- reset
72
    RB_MREQ_aval : in slbit;            -- rbus: request - aval
73
    RB_MREQ_re : in slbit;              -- rbus: request - re
74
    RB_MREQ_we : in slbit;              -- rbus: request - we
75
    RB_MREQ_initt : in slbit;           -- rbus: request - init; avoid name coll
76
    RB_MREQ_addr : in slv16;            -- rbus: request - addr
77
    RB_MREQ_din : in slv16;             -- rbus: request - din
78
    RB_SRES_ack : out slbit;            -- rbus: response - ack
79
    RB_SRES_busy : out slbit;           -- rbus: response - busy
80
    RB_SRES_err : out slbit;            -- rbus: response - err
81
    RB_SRES_dout : out slv16;           -- rbus: response - dout
82
    RB_LAM : out slv16;                 -- rbus: look at me
83
    RB_STAT : out slv4                  -- rbus: status flags
84
  );
85
end entity tbd_tba_pdp11core;
86
 
87
 
88
architecture syn of tbd_tba_pdp11core is
89
 
90
  signal CE_USEC : slbit := '0';
91
 
92
  signal GRESET : slbit := '0';
93
  signal CP_CNTL : cp_cntl_type := cp_cntl_init;
94
  signal CP_ADDR : cp_addr_type := cp_addr_init;
95
  signal CP_DIN : slv16 := (others=>'0');
96
  signal CP_STAT : cp_stat_type := cp_stat_init;
97
  signal CP_DOUT : slv16 := (others=>'0');
98
 
99
  signal RB_MREQ : rb_mreq_type := rb_mreq_init;
100
  signal RB_SRES : rb_sres_type := rb_sres_init;
101
 
102
  signal RB_SRES_CPU  : rb_sres_type := rb_sres_init;
103
  signal RB_SRES_IBD  : rb_sres_type := rb_sres_init;
104
 
105
  signal EI_PRI  : slv3 := (others=>'0');
106
  signal EI_VECT : slv9_2 := (others=>'0');
107
  signal EI_ACKM : slbit := '0';
108
 
109
  signal EM_MREQ : em_mreq_type := em_mreq_init;
110
  signal EM_SRES : em_sres_type := em_sres_init;
111
 
112
  signal BRESET  : slbit := '0';
113
  signal IB_MREQ : ib_mreq_type := ib_mreq_init;
114
  signal IB_SRES : ib_sres_type := ib_sres_init;
115
 
116
begin
117
 
118
  RB_MREQ.aval <= RB_MREQ_aval;
119
  RB_MREQ.re   <= RB_MREQ_re;
120
  RB_MREQ.we   <= RB_MREQ_we;
121
  RB_MREQ.init <= RB_MREQ_initt;
122
  RB_MREQ.addr <= RB_MREQ_addr;
123
  RB_MREQ.din  <= RB_MREQ_din;
124
 
125
  RB_SRES_ack  <= RB_SRES.ack;
126
  RB_SRES_busy <= RB_SRES.busy;
127
  RB_SRES_err  <= RB_SRES.err;
128
  RB_SRES_dout <= RB_SRES.dout;
129
 
130
  CLKDIV : clkdivce
131
    generic map (
132
      CDUWIDTH =>    6,
133
      USECDIV  =>   50,
134
      MSECDIV  => 1000)
135
    port map (
136
      CLK     => CLK,
137
      CE_USEC => CE_USEC,
138
      CE_MSEC => open
139
    );
140
 
141
  RB2CP : pdp11_core_rbus
142
    generic map (
143
      RB_ADDR_CORE => slv(to_unsigned(16#0000#,16)),
144
      RB_ADDR_IBUS => slv(to_unsigned(16#4000#,16)))
145
    port map (
146
      CLK => CLK,
147
      RESET     => RESET,
148
      RB_MREQ   => RB_MREQ,
149
      RB_SRES   => RB_SRES_CPU,
150
      RB_STAT   => RB_STAT,
151
      RB_LAM    => RB_LAM(0),
152
      GRESET    => GRESET,
153
      CP_CNTL   => CP_CNTL,
154
      CP_ADDR   => CP_ADDR,
155
      CP_DIN    => CP_DIN,
156
      CP_STAT   => CP_STAT,
157
      CP_DOUT   => CP_DOUT
158
    );
159
 
160
  W11A : pdp11_core
161
    port map (
162
      CLK     => CLK,
163
      RESET   => GRESET,
164
      CP_CNTL => CP_CNTL,
165
      CP_ADDR => CP_ADDR,
166
      CP_DIN  => CP_DIN,
167
      CP_STAT => CP_STAT,
168
      CP_DOUT => CP_DOUT,
169
      ESUSP_O => open,
170
      ESUSP_I => '0',
171
      ITIMER  => open,
172
      HBPT    => '0',
173
      EI_PRI  => EI_PRI,
174
      EI_VECT => EI_VECT,
175
      EI_ACKM => EI_ACKM,
176
      EM_MREQ => EM_MREQ,
177
      EM_SRES => EM_SRES,
178
      BRESET  => BRESET,
179
      IB_MREQ_M => IB_MREQ,
180
      IB_SRES_M => IB_SRES
181
    );
182
 
183
  MEM : pdp11_bram
184
    generic map (
185
      AWIDTH => sys_conf_bram_awidth)
186
    port map (
187
      CLK     => CLK,
188
      GRESET  => GRESET,
189
      EM_MREQ => EM_MREQ,
190
      EM_SRES => EM_SRES
191
    );
192
 
193
  IBDR_SYS : ibdr_minisys
194
    port map (
195
      CLK      => CLK,
196
      CE_USEC  => CE_USEC,
197
      CE_MSEC  => CE_USEC,              -- !! in test benches msec = usec !!
198
      RESET    => GRESET,
199
      BRESET   => BRESET,
200
      RB_LAM   => RB_LAM(15 downto 1),
201
      IB_MREQ  => IB_MREQ,
202
      IB_SRES  => IB_SRES,
203
      EI_ACKM  => EI_ACKM,
204
      EI_PRI   => EI_PRI,
205
      EI_VECT  => EI_VECT,
206
      DISPREG  => open
207
    );
208
 
209
  RB_SRES_OR : rb_sres_or_2
210
    port map (
211
      RB_SRES_1  => RB_SRES_CPU,
212
      RB_SRES_2  => RB_SRES_IBD,
213
      RB_SRES_OR => RB_SRES
214
    );
215
 
216
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.