OpenCores
URL https://opencores.org/ocsvn/wb2axi4/wb2axi4/trunk

Subversion Repositories wb2axi4

[/] [wb2axi4/] [trunk/] [rtl/] [wb_egress.sv] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alzhang
//Author     : Alex Zhang (cgzhangwei@gmail.com)
2
//Date       : 03-11-2015
3
//Description: Now support the classic WB protocol.
4
//             Change the ACK_I meaing to improve the efficiency.
5
//TODO       : 4 beat wrapper or 8 beat wrapper is not supported yet.
6
 
7
module wb_egress(
8
wb_clk,
9
wb_resetn,
10
ENABLE,
11
WB_TX_IF,
12
fifo_adr_rdata,
13
fifo_adr_rd,
14
fifo_adr_empty,
15
fifo_dat_rdata,
16
fifo_dat_rd,
17
fifo_dat_empty,
18
);
19
 
20
parameter  WB_ADR_W      = 32;
21
parameter  WB_DAT_W      = 32;
22
parameter  WB_TGA_W      = 8;
23
parameter  WB_TGD_W      = 8;
24
parameter  WB_TGC_W      = 4;
25
parameter  WB_SEL_W      = 4;
26
parameter  WB_CTI_W      = 3;
27
parameter  WB_BTE_W      = 2;
28
parameter  AXI_ID_W      = 3;
29
parameter  AXI_ADDR_W    = 32;
30
parameter  AXI_LEN_W     = 4;
31
parameter  AXI_SIZE_W    = 3;
32
parameter  AXI_BURST_W   = 2;
33
parameter  AXI_LOCK_W    = 2;
34
parameter  AXI_CACHE_W   = 4;
35
parameter  AXI_PROT_W    = 3;
36
parameter  AXI_DATA_W    = 32;
37
parameter  AXI_STRB_W    = 4;
38
parameter  FIFO_ADR_W    = AXI_ID_W + AXI_ADDR_W + AXI_LEN_W + AXI_SIZE_W + AXI_BURST_W + AXI_LOCK_W + AXI_CACHE_W + AXI_PROT_W +1 ;
39
parameter  FIFO_DAT_W    = AXI_ID_W + AXI_DATA_W + AXI_STRB_W + 2;
40
parameter  ST_W          = 2 ;
41
parameter  ST_IDLE       = 2'b00,
42
           ST_READ_ADDR  = 2'b01,
43
           ST_WAIT_DATA  = 2'b10,
44
           ST_READ_DATA  = 2'b11;
45
parameter  WB_W          = 2;
46
parameter  WB_IDLE       = 2'b00,
47
           WB_FIRST_DATA = 2'b01,
48
           WB_NEXT_DATA  = 2'b10;
49
 
50
input  wire                          wb_clk;
51
input  wire                          wb_resetn;
52
input  wire                          ENABLE;
53
wb_if.master                         WB_TX_IF;
54
input  wire [FIFO_ADR_W-1:0]         fifo_adr_rdata;
55
output wire                          fifo_adr_rd;
56
input  wire                          fifo_adr_empty;
57
input  wire [FIFO_DAT_W-1:0]         fifo_dat_rdata;
58
output wire                          fifo_dat_rd;
59
input  wire                          fifo_dat_empty;
60
 
61
 
62
reg  [ST_W-1:0]        state;
63
reg  [ST_W-1:0]        next_state;
64
reg                    inc_dat_ptr;
65
reg  [4:0]             data_count;
66
wire                   allow_adr_rd;
67
wire                   fifo_adr_rd;
68
wire                   fifo_adr_rd_q;
69
wire                   fifo_dat_rd;
70
reg  [AXI_ID_W   -1:0] axi_id    ;
71
reg  [AXI_ADDR_W -1:0] axi_addr  ;
72
reg  [AXI_LEN_W  -1:0] axi_len   ;
73
reg  [AXI_SIZE_W -1:0] axi_size  ;
74
reg  [AXI_BURST_W-1:0] axi_burst ;
75
reg  [AXI_LOCK_W -1:0] axi_lock  ;
76
reg  [AXI_CACHE_W-1:0] axi_cache ;
77
reg  [AXI_PROT_W -1:0] axi_prot  ;
78
reg                    wr_req    ;
79
reg  [AXI_ID_W   -1:0] axi_wid   ;
80
reg  [AXI_DATA_W -1:0] axi_wdata ;
81
reg  [AXI_STRB_W -1:0] axi_wstrb ;
82
reg                    axi_wlast ;
83
reg                    axi_wvalid;
84
reg                    wb_we_o ;
85
reg  [WB_ADR_W-1:0]    wb_adr_o;
86
reg  [WB_TGA_W-1:0]    wb_tga_o;
87
reg  [WB_ADR_W-1:0]    wb_adr_tmp;
88
reg  [WB_BTE_W-1:0]    wb_bte_o;
89
reg                    wb_cyc_o;
90
reg  [WB_TGC_W-1:0]    wb_tgc_o;
91
reg  [WB_CTI_W-1:0]    wb_cti_o;
92
reg  [WB_STB_W-1:0]    wb_stb_o;
93
reg  [WB_DAT_W-1:0]    wb_dat_o;
94
reg  [WB_TGD_W-1:0]    wb_tgd_o;
95
reg  [WB_SEL_W-1:0]    wb_sel_o;
96
 
97
 
98
assign allow_adr_rd = wb_cs == WB_IDLE;
99
always_comb begin
100
  next_state = state;
101
  inc_dat_ptr  = 0; //int- internal
102
  case (state)
103
    ST_IDLE : begin
104
      if (!fifo_adr_empty&allow_adr_rd) begin
105
        next_state = ST_READ_ADDR;
106
      end else begin
107
        next_state = ST_IDLE;
108
      end
109
    end
110
    ST_READ_ADDR : begin
111
      next_state = ST_WAIT_DATA;
112
    end
113
    ST_WAIT_DATA : begin
114
      if (WB_TX_IF.ACK_I & !fifo_dat_empty) begin
115
        next_state = ST_READ_DATA;
116
      end else begin
117
        next_state = ST_WAIT_DATA;
118
      end
119
    end
120
    ST_READ_DATA : begin
121
      if (data_count>0 & WB_TX_IF.ACK_I) begin
122
        next_state = ST_READ_DATA;
123
        inc_dat_ptr = 1;
124
      end else if (data_count>0) begin
125
        next_state = ST_WAIT_DATA;
126
        inc_dat_ptr = 0;
127
      end
128
        next_state = ST_IDLE;
129
        inc_dat_ptr = 0;
130
      end
131
    end
132
  endcase
133
end
134
 
135
assign fifo_adr_rd = state == ST_READ_ADDR;
136
assign fifo_dat_rd = inc_dat_ptr;
137
 
138
sync_single_ff #(.DATA_W(1)) adr_rd_ff (
139
  .DIN    ( fifo_adr_rd   ),
140
  .DOUT   ( fifo_adr_rd_q ),
141
  .CLK    ( wb_clk        ),
142
  .RESET_N( wb_resetn     )
143
)
144
always @(posedge wb_clk or negedge wb_resetn)
145
  if (~wb_resetn) begin
146
    state <= ST_IDLE;
147
    data_count <= 0 ;
148
  end else begin
149
    state <= next_state;
150
    data_count <= state==ST_READ_ADDR ? axi_len   + 1 :
151
                  state==ST_READ_DATA ? data_count -1 : data_count ;
152
  end
153
 
154
//AXI3 only accept the alignment input of 4Byte-aligned data.i.e. awaddr[1:0]==0
155
 
156
 
157
always @(posedge wb_clk or negedge wb_resetn)
158
  if (~wb_resetn) begin
159
    axi_id     <= 0;
160
    axi_addr   <= 0;
161
    axi_len    <= 0;
162
    axi_size   <= 0;
163
    axi_burst  <= 0;
164
    axi_lock   <= 0;
165
    axi_cache  <= 0;
166
    axi_prot   <= 0;
167
    wr_req     <= 0;
168
    axi_wid    <= 0;
169
    axi_wdata  <= 0;
170
    axi_wstrb  <= 0;
171
    axi_wlast  <= 0;
172
    axi_wvalid <= 0;
173
  end else begin
174
    if ( fifo_adr_rd )
175
      {axi_id, axi_addr, axi_len, axi_size, axi_burst, axi_lock, axi_cache, axi_prot, wr_req}<= fifo_adr_rdata;
176
    if ( fifo_dat_rd )
177
      {axi_wid, axi_wdata, axi_wstrb, axi_wlast, axi_wvalid}<= fifo_dat_rdata;
178
  end
179
 
180
always @(posedge wb_clk or negedge wb_resetn) begin
181
  if (~wb_resetn) begin
182
    wb_cs <= WB_IDLE;
183
  end else begin
184
    wb_cs <= wb_ns;
185
  end
186
end
187
///Wishbone master output
188
always @(*) begin
189
  wb_ns = wb_cs;
190
  case (wb_cs)
191
    WB_IDLE : begin
192
      if (fifo_data_rd) begin
193
        wb_ns = WB_FIRST_DATA;
194
      end  else begin
195
        wb_ns = WB_IDLE;
196
      end
197
    end
198
    WB_FIRST_DATA : begin
199
      if (axi_wlast & WB_TX_IF.ACK_I)
200
        wb_ns = WB_IDLE;
201
      else if (~axi_wlast & WB_TX_IF.ACK_I)
202
        wb_ns = WB_NEXT_DATA;
203
      else
204
        wb_ns = WB_FIRST_DATA;
205
    end
206
 
207
    WB_NEXT_DATA : begin
208
      if (axi_wlast & WB_TX_IF.ACK_I)
209
        wb_ns = WB_IDLE;
210
      else
211
        wb_ns = WB_NEXT_DATA;
212
    end
213
  endcase
214
end
215
 
216
 
217
always @(posedge wb_clk or negedge wb_resetn) begin
218
  if (~wb_resetn) begin
219
        wb_we_o  <= 0;
220
        wb_adr_o <= 0;
221
        wb_tga_o <= 0;
222
 
223
        wb_adr_tmp <= 0;
224
        wb_bte_o <= 0;
225
        wb_cyc_o <= 0;
226
        wb_tgc_o <= 0;
227
        wb_cti_o <= 0;
228
        wb_stb_o <= 0;
229
 
230
        wb_dat_o <= 0;
231
        wb_tgd_o <= 0;
232
        wb_sel_o <= 0;
233
  end else begin
234
    case (wb_cs)
235
      WB_IDLE : begin
236
        wb_we_o  <= 0;
237
        wb_adr_o <= 0;
238
        wb_tga_o <= 0;
239
 
240
        wb_bte_o <= 0;
241
        wb_cyc_o <= 0;
242
        wb_tgc_o <= 0;
243
        wb_cti_o <= 0;
244
        wb_stb_o <= 0;
245
 
246
        wb_dat_o <= 0;
247
        wb_tgd_o <= 0;
248
        wb_sel_o <= 0;
249
      end
250
      WB_FIRST_DATA : begin
251
        wb_we_o  <= wr_req;
252
        wb_adr_o <= axi_addr;
253
        wb_adr_tmp <= axi_addr;
254
        wb_len_tmp <= axi_len-1;
255
        wb_tga_o <= axi_id;
256
 
257
        wb_bte_o <= 2'b00; //burst
258
        wb_cyc_o <= 1;
259
        wb_tgc_o <= {axi_prot, axi_cache, axi_lock, axi_len};
260
        wb_cti_o <= axi_wlast ? 3'b111 : 3'b000;
261
        wb_stb_o <= 1;
262
 
263
        wb_dat_o <= axi_wdata;
264
        wb_tgd_o <= axi_wid;
265
        wb_sel_o <= axi_wstrb;
266
      end
267
      WB_NEXT_DATA : begin
268
        wb_we_o  <= wr_req;
269
        wb_adr_o <= wb_adr_tmp + 4'b100;
270
        wb_adr_tmp <= wb_adr_tmp +4'b100;
271
        wb_len_tmp <= wb_len_tmp -1 ;
272
        wb_tga_o <= axi_id;
273
 
274
        wb_bte_o <= 2'b00; //burst
275
        wb_cyc_o <= 1;
276
        wb_tgc_o <= {axi_prot, axi_cache, axi_lock, wb_len_tmp };
277
        wb_cti_o <= axi_wlast ? 3'b111 : 3'b000;
278
        wb_stb_o <= 1;
279
 
280
        wb_dat_o <= axi_wdata;
281
        wb_tgd_o <= axi_wid;
282
        wb_sel_o <= axi_wstrb;
283
      end
284
    endcase
285
  end
286
end
287
 
288
assign WB_TX_IF.ADR_O = wb_adr_o;
289
assign WB_TX_IF.WE_O  = wb_we_o;
290
assign WB_TX_IF.TGA_O = wb_tga_o;
291
assign WB_TX_IF.BTE_O = wb_bte_o;
292
assign WB_TX_IF.CYC_O = wb_cyc_o;
293
assign WB_TX_IF.TGC_O = wb_tgc_o;
294
assign WB_TX_IF.CTI_O = wb_cti_o;
295
assign WB_TX_IF.STB_O = wb_stb_o;
296
assign WB_TX_IF.DAT_O = wb_dat_o;
297
assign WB_TX_IF.TGD_O = wb_tgd_o;
298
assign WB_TX_IF.SEL_O = wb_sel_o;
299
 
300
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.