OpenCores
URL https://opencores.org/ocsvn/wb2axip/wb2axip/trunk

Subversion Repositories wb2axip

[/] [wb2axip/] [trunk/] [rtl/] [Makefile] - Blame information for rev 8

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 dgisselq
################################################################################
2
##
3
## Filename:    Makefile
4
##
5
## Project:     Pipelined Wishbone to AXI converter
6
##
7
## Purpose:     To describe how to build the Verilator libraries from the
8
##              RTL, for the purposes of trying to discover if they work.
9
##      Any actual testing will be done from the code within the bench/cpp
10
##      directory.
11
##
12
## Targets:     The default target, all, builds the target test, which includes
13
##              the libraries necessary for Verilator testing.
14
##
15
## Creator:     Dan Gisselquist, Ph.D.
16
##              Gisselquist Technology, LLC
17
##
18
################################################################################
19
##
20
## Copyright (C) 2016, Gisselquist Technology, LLC
21
##
22
## This program is free software (firmware): you can redistribute it and/or
23
## modify it under the terms of  the GNU General Public License as published
24
## by the Free Software Foundation, either version 3 of the License, or (at
25
## your option) any later version.
26
##
27
## This program is distributed in the hope that it will be useful, but WITHOUT
28
## ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or
29
## FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
30
## for more details.
31
##
32
## You should have received a copy of the GNU General Public License along
33 8 dgisselq
## with this program.  (It's in the $(ROOT)/doc directory.  Run make with no
34 3 dgisselq
## target there if the PDF file isn't present.)  If not, see
35
##  for a copy.
36
##
37
## License:     GPL, v3, as defined and found on www.gnu.org,
38
##              http://www.gnu.org/licenses/gpl.html
39
##
40
################################################################################
41
##
42
##
43
all:    test
44
YYMMDD=`date +%Y%m%d`
45
CXX   := g++
46
FBDIR := .
47
VDIRFB:= $(FBDIR)/obj_dir
48
 
49
.PHONY: test
50 7 dgisselq
test: testwb testaxi
51 3 dgisselq
 
52 7 dgisselq
.PHONY: testwb
53
.PHONY: testaxi
54
 
55 8 dgisselq
.PHONY: wbm2axisp
56
wbm2axisp: testwb
57
 
58
.PHONY: axim2wbsp
59
axim2wbsp: testaxi
60
 
61 7 dgisselq
testwb:  $(VDIRFB)/Vwbm2axisp__ALL.a
62
testaxi: $(VDIRFB)/Vaxim2wbsp__ALL.a
63
 
64 3 dgisselq
$(VDIRFB)/Vwbm2axisp__ALL.a: $(VDIRFB)/Vwbm2axisp.h $(VDIRFB)/Vwbm2axisp.cpp
65
$(VDIRFB)/Vwbm2axisp__ALL.a: $(VDIRFB)/Vwbm2axisp.mk
66
$(VDIRFB)/Vwbm2axisp.h $(VDIRFB)/Vwbm2axisp.cpp $(VDIRFB)/Vwbm2axisp.mk: wbm2axisp.v
67
 
68 7 dgisselq
$(VDIRFB)/Vaxim2wbsp__ALL.a: $(VDIRFB)/Vaxim2wbsp.h $(VDIRFB)/Vaxim2wbsp.cpp
69
$(VDIRFB)/Vaxim2wbsp__ALL.a: $(VDIRFB)/Vaxim2wbsp.mk
70
$(VDIRFB)/Vaxim2wbsp.h $(VDIRFB)/Vaxim2wbsp.cpp $(VDIRFB)/Vaxim2wbsp.mk: \
71
        axim2wbsp.v aximrd2wbsp.v aximwr2wbsp.v wbarbiter.v
72
 
73 3 dgisselq
$(VDIRFB)/V%.cpp $(VDIRFB)/V%.h $(VDIRFB)/V%.mk: $(FBDIR)/%.v
74
        verilator -cc $*.v
75
 
76
$(VDIRFB)/V%__ALL.a: $(VDIRFB)/V%.mk
77
        cd $(VDIRFB); make -f V$*.mk
78
 
79
.PHONY: clean
80
clean:
81
        rm -rf $(VDIRFB)/*.mk
82
        rm -rf $(VDIRFB)/*.cpp
83
        rm -rf $(VDIRFB)/*.h
84
        rm -rf $(VDIRFB)/
85
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.