OpenCores
URL https://opencores.org/ocsvn/wb_async_mem_bridge/wb_async_mem_bridge/trunk

Subversion Repositories wb_async_mem_bridge

[/] [wb_async_mem_bridge/] [trunk/] [sim/] [tests/] [debug/] [debug.mpf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 qaztronic
; Copyright 1991-2008 Mentor Graphics Corporation
2
;
3
; All Rights Reserved.
4
;
5
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
6
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
7
;
8
 
9
[Library]
10
std = $MODEL_TECH/../std
11
ieee = $MODEL_TECH/../ieee
12
verilog = $MODEL_TECH/../verilog
13
vital2000 = $MODEL_TECH/../vital2000
14
std_developerskit = $MODEL_TECH/../std_developerskit
15
synopsys = $MODEL_TECH/../synopsys
16
modelsim_lib = $MODEL_TECH/../modelsim_lib
17
sv_std = $MODEL_TECH/../sv_std
18
mtiAvm = $MODEL_TECH/../avm
19
mtiOvm = $MODEL_TECH/../ovm
20
mtiUPF = $MODEL_TECH/../upf_lib
21
floatfixlib = $MODEL_TECH/../floatfixlib
22
;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
23
;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
24
;mvc_lib = $MODEL_TECH/../mvc_lib
25
 
26
work = work
27
[vcom]
28
; VHDL93 variable selects language version as the default.
29
; Default is VHDL-2002.
30
; Value of 0 or 1987 for VHDL-1987.
31
; Value of 1 or 1993 for VHDL-1993.
32
; Default or value of 2 or 2002 for VHDL-2002.
33
VHDL93 = 2002
34
 
35
; Show source line containing error. Default is off.
36
; Show_source = 1
37
 
38
; Turn off unbound-component warnings. Default is on.
39
; Show_Warning1 = 0
40
 
41
; Turn off process-without-a-wait-statement warnings. Default is on.
42
; Show_Warning2 = 0
43
 
44
; Turn off null-range warnings. Default is on.
45
; Show_Warning3 = 0
46
 
47
; Turn off no-space-in-time-literal warnings. Default is on.
48
; Show_Warning4 = 0
49
 
50
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
51
; Show_Warning5 = 0
52
 
53
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
54
; Optimize_1164 = 0
55
 
56
; Turn on resolving of ambiguous function overloading in favor of the
57
; "explicit" function declaration (not the one automatically created by
58
; the compiler for each type declaration). Default is off.
59
; The .ini file has Explicit enabled so that std_logic_signed/unsigned
60
; will match the behavior of synthesis tools.
61
Explicit = 1
62
 
63
; Turn off acceleration of the VITAL packages. Default is to accelerate.
64
; NoVital = 1
65
 
66
; Turn off VITAL compliance checking. Default is checking on.
67
; NoVitalCheck = 1
68
 
69
; Ignore VITAL compliance checking errors. Default is to not ignore.
70
; IgnoreVitalErrors = 1
71
 
72
; Turn off VITAL compliance checking warnings. Default is to show warnings.
73
; Show_VitalChecksWarnings = 0
74
 
75
; Turn off PSL assertion warning messages. Default is to show warnings.
76
; Show_PslChecksWarnings = 0
77
 
78
; Enable parsing of embedded PSL assertions. Default is enabled.
79
; EmbeddedPsl = 0
80
 
81
; Keep silent about case statement static warnings.
82
; Default is to give a warning.
83
; NoCaseStaticError = 1
84
 
85
; Keep silent about warnings caused by aggregates that are not locally static.
86
; Default is to give a warning.
87
; NoOthersStaticError = 1
88
 
89
; Treat as errors:
90
;   case statement static warnings
91
;   warnings caused by aggregates that are not locally static
92
; Overrides NoCaseStaticError, NoOthersStaticError settings.
93
; PedanticErrors = 1
94
 
95
; Turn off inclusion of debugging info within design units.
96
; Default is to include debugging info.
97
; NoDebug = 1
98
 
99
; Turn off "Loading..." messages. Default is messages on.
100
; Quiet = 1
101
 
102
; Turn on some limited synthesis rule compliance checking. Checks only:
103
;    -- signals used (read) by a process must be in the sensitivity list
104
; CheckSynthesis = 1
105
 
106
; Activate optimizations on expressions that do not involve signals,
107
; waits, or function/procedure/task invocations. Default is off.
108
; ScalarOpts = 1
109
 
110
; Turns on lint-style checking.
111
; Show_Lint = 1
112
 
113
; Require the user to specify a configuration for all bindings,
114
; and do not generate a compile time default binding for the
115
; component. This will result in an elaboration error of
116
; 'component not bound' if the user fails to do so. Avoids the rare
117
; issue of a false dependency upon the unused default binding.
118
; RequireConfigForAllDefaultBinding = 1
119
 
120
; Perform default binding at compile time.
121
; Default is to do default binding at load time.
122
; BindAtCompile = 1;
123
 
124
; Inhibit range checking on subscripts of arrays. Range checking on
125
; scalars defined with subtypes is inhibited by default.
126
; NoIndexCheck = 1
127
 
128
; Inhibit range checks on all (implicit and explicit) assignments to
129
; scalar objects defined with subtypes.
130
; NoRangeCheck = 1
131
 
132
; Run the 0-in compiler on the VHDL source files
133
; Default is off.
134
; ZeroIn = 1
135
 
136
; Set the options to be passed to the 0-in compiler.
137
; Default is "".
138
; ZeroInOptions = ""
139
 
140
; Turn on code coverage in VHDL design units. Default is off.
141
; Coverage = sbceft
142
 
143
; Turn off code coverage in VHDL subprograms. Default is on.
144
; CoverageSub = 0
145
 
146
; Automatically exclude VHDL case statement default branches.
147
; Default is to not exclude.
148
; CoverExcludeDefault = 1
149
 
150
; Control compiler and VOPT optimizations that are allowed when
151
; code coverage is on.  Refer to the comment for this in the [vlog] area.
152
; CoverOpt = 3
153
 
154
; Inform code coverage optimizations to respect VHDL 'H' and 'L'
155
; values on signals in conditions and expressions, and to not automatically
156
; convert them to '1' and '0'. Default is to not convert.
157
; CoverRespectHandL = 0
158
 
159
; Increase or decrease the maximum number of rows allowed in a UDP table
160
; implementing a VHDL condition coverage or expression coverage expression.
161
; More rows leads to a longer compile time, but more expressions covered.
162
; CoverMaxUDPRows = 192
163
 
164
; Increase or decrease the maximum number of input patterns that are present
165
; in FEC table. This leads to a longer compile time with more expressions
166
; covered with FEC metric.
167
; CoverMaxFECRows = 192
168
 
169
; Enable or disable Focused Expression Coverage analysis for conditions and
170
; expressions. Focused Expression Coverage data is provided by default when
171
; expression and/or condition coverage is active.
172
; CoverageFEC = 0
173
 
174
; Enable or disable short circuit evaluation of conditions and expressions when
175
; condition or expression coverage is active. Short circuit evaluation is enabled
176
; by default.
177
; CoverageShortCircuit = 0
178
 
179
; Use this directory for compiler temporary files instead of "work/_temp"
180
; CompilerTempDir = /tmp
181
 
182
; Add VHDL-AMS declarations to package STANDARD
183
; Default is not to add
184
; AmsStandard = 1
185
 
186
; Range and length checking will be performed on array indices and discrete
187
; ranges, and when violations are found within subprograms, errors will be
188
; reported. Default is to issue warnings for violations, because subprograms
189
; may not be invoked.
190
; NoDeferSubpgmCheck = 0
191
 
192
; Turn on fsm debug flow.
193
; FsmDebug = 1
194
 
195
; Turn off detection of FSMs having single bit current state variable.
196
; FsmSingle = 0
197
 
198
; Turn off reset state transitions in FSM.
199
; FsmResetTrans = 0
200
 
201
[vlog]
202
; Turn off inclusion of debugging info within design units.
203
; Default is to include debugging info.
204
; NoDebug = 1
205
 
206
; Turn on `protect compiler directive processing.
207
; Default is to ignore `protect directives.
208
; Protect = 1
209
 
210
; Turn off "Loading..." messages. Default is messages on.
211
; Quiet = 1
212
 
213
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
214
; Default is off.
215
; Hazard = 1
216
 
217
; Turn on converting regular Verilog identifiers to uppercase. Allows case
218
; insensitivity for module names. Default is no conversion.
219
; UpCase = 1
220
 
221
; Activate optimizations on expressions that do not involve signals,
222
; waits, or function/procedure/task invocations. Default is off.
223
; ScalarOpts = 1
224
 
225
; Turns on lint-style checking.
226
; Show_Lint = 1
227
 
228
; Show source line containing error. Default is off.
229
; Show_source = 1
230
 
231
; Turn on bad option warning. Default is off.
232
; Show_BadOptionWarning = 1
233
 
234
; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
235
; vlog95compat = 1
236
 
237
; Turn off PSL warning messages. Default is to show warnings.
238
; Show_PslChecksWarnings = 0
239
 
240
; Enable parsing of embedded PSL assertions. Default is enabled.
241
; EmbeddedPsl = 0
242
 
243
; Set the threshold for automatically identifying sparse Verilog memories.
244
; A memory with depth equal to or more than the sparse memory threshold gets
245
; marked as sparse automatically, unless specified otherwise in source code
246
; or by +nosparse commandline option of vlog or vopt.
247
; The default is 1M.  (i.e. memories with depth equal
248
; to or greater than 1M are marked as sparse)
249
; SparseMemThreshold = 1048576
250
 
251
; Set the maximum number of iterations permitted for a generate loop.
252
; Restricting this permits the implementation to recognize infinite
253
; generate loops.
254
; GenerateLoopIterationMax = 100000
255
 
256
; Set the maximum depth permitted for a recursive generate instantiation.
257
; Restricting this permits the implementation to recognize infinite
258
; recursions.
259
; GenerateRecursionDepthMax = 200
260
 
261
; Run the 0-in compiler on the Verilog source files
262
; Default is off.
263
; ZeroIn = 1
264
 
265
; Set the options to be passed to the 0-in compiler.
266
; Default is "".
267
; ZeroInOptions = ""
268
 
269
; Set the option to treat all files specified in a vlog invocation as a
270
; single compilation unit. The default value is set to 0 which will treat
271
; each file as a separate compilation unit as specified in the P1800 draft standard.
272
; MultiFileCompilationUnit = 1
273
 
274
; Turn on code coverage in Verilog design units. Default is off.
275
; Coverage = sbceft
276
 
277
; Automatically exclude Verilog case statement default branches.
278
; Default is to not automatically exclude defaults.
279
; CoverExcludeDefault = 1
280
 
281
; Increase or decrease the maximum number of rows allowed in a UDP table
282
; implementing a Verilog condition coverage or expression coverage expression.
283
; More rows leads to a longer compile time, but more expressions covered.
284
; CoverMaxUDPRows = 192
285
 
286
; Increase or decrease the maximum number of input patterns that are present
287
; in FEC table. This leads to a longer compile time with more expressions
288
; covered with FEC metric.
289
; CoverMaxFECRows = 192
290
 
291
; Enable or disable Focused Expression Coverage analysis for conditions and
292
; expressions. Focused Expression Coverage data is provided by default when
293
; expression and/or condition coverage is active.
294
; CoverageFEC = 0
295
 
296
; Enable or disable short circuit evaluation of conditions and expressions when
297
; condition or expression coverage is active. Short circuit evaluation is enabled
298
; by default.
299
; CoverageShortCircuit = 0
300
 
301
 
302
; Turn on code coverage in VLOG `celldefine modules and modules included
303
; using vlog -v and -y. Default is off.
304
; CoverCells = 1
305
 
306
; Control compiler and VOPT optimizations that are allowed when
307
; code coverage is on. This is a number from 1 to 4, with the following
308
; meanings (the default is 3):
309
;    1 -- Turn off all optimizations that affect coverage reports.
310
;    2 -- Allow optimizations that allow large performance improvements
311
;         by invoking sequential processes only when the data changes.
312
;         This may make major reductions in coverage counts.
313
;    3 -- In addition, allow optimizations that may change expressions or
314
;         remove some statements. Allow constant propagation. Allow VHDL
315
;         subprogram inlining.
316
;    4 -- In addition, allow optimizations that may remove major regions of
317
;         code by changing assignments to built-ins or removing unused
318
;         signals. Change Verilog gates to continuous assignments.
319
;         Allow VHDL FF recognition.
320
; CoverOpt = 3
321
 
322
; Specify the override for the default value of "cross_num_print_missing"
323
; option for the Cross in Covergroups. If not specified then LRM default
324
; value of 0 (zero) is used. This is a compile time option.
325
; SVCrossNumPrintMissingDefault = 0
326
 
327
; Setting following to 1 would cause creation of variables which
328
; would represent the value of Coverpoint expressions. This is used
329
; in conjunction with "SVCoverpointExprVariablePrefix" option
330
; in the modelsim.ini
331
; EnableSVCoverpointExprVariable = 0
332
 
333
; Specify the override for the prefix used in forming the variable names
334
; which represent the Coverpoint expressions. This is used in conjunction with
335
; "EnableSVCoverpointExprVariable" option of the modelsim.ini
336
; The default prefix is "expr".
337
; The variable name is
338
;    variable name => _
339
; SVCoverpointExprVariablePrefix = expr
340
 
341
; Override for the default value of the SystemVerilog covergroup,
342
; coverpoint, and cross option.goal (defined to be 100 in the LRM).
343
; NOTE: It does not override specific assignments in SystemVerilog
344
; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal"
345
; in the [vsim] section can override this value.
346
; SVCovergroupGoalDefault = 100
347
 
348
; Override for the default value of the SystemVerilog covergroup,
349
; coverpoint, and cross type_option.goal (defined to be 100 in the LRM)
350
; NOTE: It does not override specific assignments in SystemVerilog
351
; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal"
352
; in the [vsim] section can override this value.
353
; SVCovergroupTypeGoalDefault = 100
354
 
355
; Specify the override for the default value of "strobe" option for the
356
; Covergroup Type. This is a compile time option which forces "strobe" to
357
; a user specified default value and supersedes SystemVerilog specified
358
; default value of '0'(zero). NOTE: This can be overriden by a runtime
359
; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section.
360
; SVCovergroupStrobeDefault = 0
361
 
362
; Specify the override for the default value of "merge_instances" option for
363
; the Covergroup Type. This is a compile time option which forces
364
; "merge_instances" to a user specified default value and supersedes
365
; SystemVerilog specified default value of '0'(zero).
366
; SVCovergroupMergeInstancesDefault = 0
367
 
368
; Specify the override for the default value of "per_instance" option for the
369
; Covergroup variables. This is a compile time option which forces "per_instance"
370
; to a user specified default value and supersedes SystemVerilog specified
371
; default value of '0'(zero).
372
; SVCovergroupPerInstanceDefault = 0
373
 
374
; Specify the override for the default value of "get_inst_coverage" option for the
375
; Covergroup variables. This is a compile time option which forces
376
; "get_inst_coverage" to a user specified default value and supersedes
377
; SystemVerilog specified default value of '0'(zero).
378
; SVCovergroupGetInstCoverageDefault = 0
379
 
380
;
381
; A space separated list of resource libraries that contain precompiled
382
; packages.  The behavior is identical to using the "-L" switch.
383
;
384
; LibrarySearchPath =  [ ...]
385
LibrarySearchPath = mtiAvm mtiOvm mtiUPF
386
 
387
; The behavior is identical to the "-mixedansiports" switch.  Default is off.
388
; MixedAnsiPorts = 1
389
 
390
; Enable SystemVerilog 3.1a $typeof() function. Default is off.
391
; EnableTypeOf = 1
392
 
393
; Only allow lower case pragmas. Default is disabled.
394
; AcceptLowerCasePragmaOnly = 1
395
 
396
; Set the maximum depth permitted for a recursive include file nesting.
397
; IncludeRecursionDepthMax = 5
398
 
399
; Turn on fsm debug flow.
400
; FsmDebug = 1
401
 
402
; Turn off detection of FSMs having single bit current state variable.
403
; FsmSingle = 0
404
 
405
; Turn off reset state transitions in FSM.
406
; FsmResetTrans = 0
407
 
408
; Turn off detections of FSMs having x-assignment.
409
; FsmXAssign = 0
410
 
411
; List of file suffixes which will be read as SystemVerilog.  White space
412
; in extensions can be specified with a back-slash: "\ ".  Back-slashes
413
; can be specified with two consecutive back-slashes: "\\";
414
; SVFileExtensions = sv svp
415
 
416
; This setting is the same as the vlog -sv command line switch.
417
; Enables SystemVerilog features and keywords when true (1).
418
; When false (0), the rules of IEEE Std 1364-2001 are followed and
419
; SystemVerilog keywords are ignored.
420
; Svlog = 0
421
 
422
[sccom]
423
; Enable use of SCV include files and library.  Default is off.
424
; UseScv = 1
425
 
426
; Add C++ compiler options to the sccom command line by using this variable.
427
; CppOptions = -g
428
 
429
; Use custom C++ compiler located at this path rather than the default path.
430
; The path should point directly at a compiler executable.
431
; CppPath = /usr/bin/g++
432
 
433
; Enable verbose messages from sccom.  Default is off.
434
; SccomVerbose = 1
435
 
436
; sccom logfile.  Default is no logfile.
437
; SccomLogfile = sccom.log
438
 
439
; Enable use of SC_MS include files and library.  Default is off.
440
; UseScMs = 1
441
 
442
[vopt]
443
; Turn on code coverage in vopt.  Default is off.
444
; Coverage = sbceft
445
 
446
; Control compiler optimizations that are allowed when
447
; code coverage is on.  Refer to the comment for this in the [vlog] area.
448
; CoverOpt = 3
449
 
450
; Increase or decrease the maximum number of rows allowed in a UDP table
451
; implementing a vopt condition coverage or expression coverage expression.
452
; More rows leads to a longer compile time, but more expressions covered.
453
; CoverMaxUDPRows = 192
454
 
455
; Increase or decrease the maximum number of input patterns that are present
456
; in FEC table. This leads to a longer compile time with more expressions
457
; covered with FEC metric.
458
; CoverMaxFECRows = 192
459
 
460
[vsim]
461
; vopt flow
462
; Set to turn on automatic optimization of a design.
463
; Default is on
464
VoptFlow = 1
465
 
466
; vopt automatic SDF
467
; If automatic design optimization is on, enables automatic compilation
468
; of SDF files.
469
; Default is on, uncomment to turn off.
470
; VoptAutoSDFCompile = 0
471
 
472
; Simulator resolution
473
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
474
resolution = 10ps
475
 
476
; Disable certain code coverage exclusions automatically.
477
; Assertions and FSM are exluded from the code coverage by default
478
; Set AutoExclusionsDisable = fsm to enable code coverage for fsm
479
; Set AutoExclusionsDisable = assertions to enable code coverage for assertions
480
; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions
481
; Or specify comma or space separated list
482
;AutoExclusionsDisable = fsm,assertions
483
 
484
; User time unit for run commands
485
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
486
; unit specified for Resolution. For example, if Resolution is 100ps,
487
; then UserTimeUnit defaults to ps.
488
; Should generally be set to default.
489
UserTimeUnit = default
490
 
491
; Default run length
492
RunLength = 100 ps
493
 
494
; Maximum iterations that can be run without advancing simulation time
495
IterationLimit = 5000
496
 
497
; Control PSL and Verilog Assume directives during simulation
498
; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
499
; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
500
; SimulateAssumeDirectives = 1
501
 
502
; Control the simulation of PSL and SVA
503
; These switches can be overridden by the vsim command line switches:
504
;    -psl, -nopsl, -sva, -nosva.
505
; Set SimulatePSL = 0 to disable PSL simulation
506
; Set SimulatePSL = 1 to enable PSL simulation (default)
507
; SimulatePSL = 1
508
; Set SimulateSVA = 0 to disable SVA simulation
509
; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
510
; SimulateSVA = 1
511
 
512
; Directives to license manager can be set either as single value or as
513
; space separated multi-values:
514
; vhdl          Immediately reserve a VHDL license
515
; vlog          Immediately reserve a Verilog license
516
; plus          Immediately reserve a VHDL and Verilog license
517
; nomgc         Do not look for Mentor Graphics Licenses
518
; nomti         Do not look for Model Technology Licenses
519
; noqueue       Do not wait in the license queue when a license is not available
520
; viewsim       Try for viewer license but accept simulator license(s) instead
521
;               of queuing for viewer license (PE ONLY)
522
; noviewer      Disable checkout of msimviewer and vsim-viewer license
523
;               features (PE ONLY)
524
; noslvhdl      Disable checkout of qhsimvh and vsim license features
525
; noslvlog      Disable checkout of qhsimvl and vsimvlog license features
526
; nomix         Disable checkout of msimhdlmix and hdlmix license features
527
; nolnl         Disable checkout of msimhdlsim and hdlsim license features
528
; mixedonly     Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license
529
;               features
530
; lnlonly       Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix,
531
;               hdlmix license features
532
; Single value:
533
; License = plus
534
; Multi-value:
535
; License = noqueue plus
536
 
537
; Stop the simulator after a VHDL/Verilog immediate assertion message
538
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
539
BreakOnAssertion = 3
540
 
541
; VHDL assertion Message Format
542
; %S - Severity Level
543
; %R - Report Message
544
; %T - Time of assertion
545
; %D - Delta
546
; %I - Instance or Region pathname (if available)
547
; %i - Instance pathname with process
548
; %O - Process name
549
; %K - Kind of object path is to return: Instance, Signal, Process or Unknown
550
; %P - Instance or Region path without leaf process
551
; %F - File
552
; %L - Line number of assertion or, if assertion is in a subprogram, line
553
;      from which the call is made
554
; %% - Print '%' character
555
; If specific format for assertion level is defined, use its format.
556
; If specific format is not defined for assertion level:
557
; - and if failure occurs during elaboration, use MessageFormatBreakLine;
558
; - and if assertion triggers a breakpoint (controlled by BreakOnAssertion
559
;   level), use MessageFormatBreak;
560
; - otherwise, use MessageFormat.
561
; MessageFormatBreakLine = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F Line: %L\n"
562
; MessageFormatBreak     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
563
; MessageFormat          = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
564
; MessageFormatNote      = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
565
; MessageFormatWarning   = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
566
; MessageFormatError     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
567
; MessageFormatFail      = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
568
; MessageFormatFatal     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
569
 
570
; Error File - alternate file for storing error messages
571
; ErrorFile = error.log
572
 
573
 
574
; Simulation Breakpoint messages
575
; This flag controls the display of function names when reporting the location
576
; where the simulator stops do to a breakpoint or fatal error.
577
; Example w/function name:  # Break in Process ctr at counter.vhd line 44
578
; Example wo/function name: # Break at counter.vhd line 44
579
ShowFunctions = 1
580
 
581
; Default radix for all windows and commands.
582
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
583
DefaultRadix = symbolic
584
 
585
; VSIM Startup command
586
; Startup = do startup.do
587
 
588
; VSIM Shutdown file
589
; Filename to save u/i formats and configurations.
590
; ShutdownFile = restart.do
591
; To explicitly disable auto save:
592
; ShutdownFile = --disable-auto-save
593
 
594
; File for saving command transcript
595
TranscriptFile = transcript
596
 
597
; File for saving command history
598
; CommandHistory = cmdhist.log
599
 
600
; Specify whether paths in simulator commands should be described
601
; in VHDL or Verilog format.
602
; For VHDL, PathSeparator = /
603
; For Verilog, PathSeparator = .
604
; Must not be the same character as DatasetSeparator.
605
PathSeparator = /
606
 
607
; Specify the dataset separator for fully rooted contexts.
608
; The default is ':'. For example: sim:/top
609
; Must not be the same character as PathSeparator.
610
DatasetSeparator = :
611
 
612
; Specify a unique path separator for the Signal Spy set of functions.
613
; The default will be to use the PathSeparator variable.
614
; Must not be the same character as DatasetSeparator.
615
; SignalSpyPathSeparator = /
616
 
617
; Used to control parsing of HDL identifiers input to the tool.
618
; This includes CLI commands, vsim/vopt/vlog/vcom options,
619
; string arguments to FLI/VPI/DPI calls, etc.
620
; If set to 1, accept either Verilog escaped Id syntax or
621
; VHDL extended id syntax, regardless of source language.
622
; If set to 0, the syntax of the source language must be used.
623
; Each identifier in a hierarchical name may need different syntax,
624
; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or
625
;       "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom"
626
; GenerousIdentifierParsing = 1
627
 
628
; Disable VHDL assertion messages
629
; IgnoreNote = 1
630
; IgnoreWarning = 1
631
; IgnoreError = 1
632
; IgnoreFailure = 1
633
 
634
; Disable System Verilog assertion messages
635
; IgnoreSVAInfo = 1
636
; IgnoreSVAWarning = 1
637
; IgnoreSVAError = 1
638
; IgnoreSVAFatal = 1
639
 
640
; Default force kind. May be freeze, drive, deposit, or default
641
; or in other terms, fixed, wired, or charged.
642
; A value of "default" will use the signal kind to determine the
643
; force kind, drive for resolved signals, freeze for unresolved signals
644
; DefaultForceKind = freeze
645
 
646
; If zero, open files when elaborated; otherwise, open files on
647
; first read or write.  Default is 0.
648
; DelayFileOpen = 1
649
 
650
; Control VHDL files opened for write.
651
;   0 = Buffered, 1 = Unbuffered
652
UnbufferedOutput = 0
653
 
654
; Control the number of VHDL files open concurrently.
655
; This number should always be less than the current ulimit
656
; setting for max file descriptors.
657
;   0 = unlimited
658
ConcurrentFileLimit = 40
659
 
660
; Control the number of hierarchical regions displayed as
661
; part of a signal name shown in the Wave window.
662
; A value of zero tells VSIM to display the full name.
663
; The default is 0.
664
; WaveSignalNameWidth = 0
665
 
666
; Turn off warnings when changing VHDL constants and generics
667
; Default is 1 to generate warning messages
668
; WarnConstantChange = 0
669
 
670
; Turn off warnings from the std_logic_arith, std_logic_unsigned
671
; and std_logic_signed packages.
672
; StdArithNoWarnings = 1
673
 
674
; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
675
; NumericStdNoWarnings = 1
676
 
677
; Control the format of the (VHDL) FOR generate statement label
678
; for each iteration.  Do not quote it.
679
; The format string here must contain the conversion codes %s and %d,
680
; in that order, and no other conversion codes.  The %s represents
681
; the generate_label; the %d represents the generate parameter value
682
; at a particular generate iteration (this is the position number if
683
; the generate parameter is of an enumeration type).  Embedded whitespace
684
; is allowed (but discouraged); leading and trailing whitespace is ignored.
685
; Application of the format must result in a unique scope name over all
686
; such names in the design so that name lookup can function properly.
687
; GenerateFormat = %s__%d
688
 
689
; Specify whether checkpoint files should be compressed.
690
; The default is 1 (compressed).
691
; CheckpointCompressMode = 0
692
 
693
; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls.
694
; The term "out-of-the-blue" refers to SystemVerilog export function calls
695
; made from C functions that don't have the proper context setup
696
; (as is the case when running under "DPI-C" import functions).
697
; When this is enabled, one can call a DPI export function
698
; (but not task) from any C code.
699
; The default is 0 (disabled).
700
; DpiOutOfTheBlue = 1
701
 
702
; List of dynamically loaded objects for Verilog PLI applications
703
; Veriuser = veriuser.sl
704
 
705
; Which default VPI object model should the tool conform to?
706
; The 1364 modes are Verilog-only, for backwards compatibility with older
707
; libraries, and SystemVerilog objects are not available in these modes.
708
;
709
; In the absence of a user-specified default, the tool default is the
710
; latest available LRM behavior.
711
; Options for PliCompatDefault are:
712
;  VPI_COMPATIBILITY_VERSION_1364v1995
713
;  VPI_COMPATIBILITY_VERSION_1364v2001
714
;  VPI_COMPATIBILITY_VERSION_1364v2005
715
;  VPI_COMPATIBILITY_VERSION_1800v2005
716
;  VPI_COMPATIBILITY_VERSION_1800v2008
717
;
718
; Synonyms for each string are also recognized:
719
;  VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995)
720
;  VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001)
721
;  VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005)
722
;  VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005)
723
;  VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008)
724
 
725
 
726
; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005
727
 
728
; Specify default options for the restart command. Options can be one
729
; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
730
; DefaultRestartOptions = -force
731
 
732
; Turn on (1) or off (0) WLF file compression.
733
; The default is 1 (compress WLF file).
734
; WLFCompress = 0
735
 
736
; Specify whether to save all design hierarchy (1) in the WLF file
737
; or only regions containing logged signals (0).
738
; The default is 0 (save only regions with logged signals).
739
; WLFSaveAllRegions = 1
740
 
741
; WLF file time limit.  Limit WLF file by time, as closely as possible,
742
; to the specified amount of simulation time.  When the limit is exceeded
743
; the earliest times get truncated from the file.
744
; If both time and size limits are specified the most restrictive is used.
745
; UserTimeUnits are used if time units are not specified.
746
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
747
; WLFTimeLimit = 0
748
 
749
; WLF file size limit.  Limit WLF file size, as closely as possible,
750
; to the specified number of megabytes.  If both time and size limits
751
; are specified then the most restrictive is used.
752
; The default is 0 (no limit).
753
; WLFSizeLimit = 1000
754
 
755
; Specify whether or not a WLF file should be deleted when the
756
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
757
; The default is 0 (do not delete WLF file when simulation ends).
758
; WLFDeleteOnQuit = 1
759
 
760
; Specify whether or not a WLF file should be optimized during
761
; simulation.  If set to 0, the WLF file will not be optimized.
762
; The default is 1, optimize the WLF file.
763
; WLFOptimize = 0
764
 
765
; Specify the name of the WLF file.
766
; The default is vsim.wlf
767
; WLFFilename = vsim.wlf
768
 
769
; Specify the WLF reader cache size limit for each open WLF file.
770
; The size is giving in megabytes.  A value of 0 turns off the
771
; WLF cache.
772
; WLFSimCacheSize allows a different cache size to be set for
773
; simulation WLF file independent of post-simulation WLF file
774
; viewing.  If WLFSimCacheSize is not set it defaults to the
775
; WLFCacheSize setting.
776
; The default WLFCacheSize setting is enabled to 256M per open WLF file.
777
; WLFCacheSize = 2000
778
; WLFSimCacheSize = 500
779
 
780
; Specify the WLF file event collapse mode.
781
; 0 = Preserve all events and event order. (same as -wlfnocollapse)
782
; 1 = Only record values of logged objects at the end of a simulator iteration.
783
;     (same as -wlfcollapsedelta)
784
; 2 = Only record values of logged objects at the end of a simulator time step.
785
;     (same as -wlfcollapsetime)
786
; The default is 1.
787
; WLFCollapseMode = 0
788
 
789
; Specify whether WLF file logging can use threads on multi-processor machines
790
; if 0, no threads will be used, if 1, threads will be used if the system has
791
; more than one processor
792
; WLFUseThreads = 1
793
 
794
; Turn on/off undebuggable SystemC type warnings. Default is on.
795
; ShowUndebuggableScTypeWarning = 0
796
 
797
; Turn on/off unassociated SystemC name warnings. Default is off.
798
; ShowUnassociatedScNameWarning = 1
799
 
800
; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off.
801
; ScShowIeeeDeprecationWarnings = 1
802
 
803
; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off.
804
; ScEnableScSignalWriteCheck = 1
805
 
806
; Set SystemC default time unit.
807
; Set to fs, ps, ns, us, ms, or sec with optional
808
; prefix of 1, 10, or 100.  The default is 1 ns.
809
; The ScTimeUnit value is honored if it is coarser than Resolution.
810
; If ScTimeUnit is finer than Resolution, it is set to the value
811
; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
812
; then the default time unit will be 1 ns.  However if Resolution
813
; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
814
ScTimeUnit = ns
815
 
816
; Set SystemC sc_main stack size. The stack size is set as an integer
817
; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
818
; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends
819
; on the amount of data on the sc_main() stack and the memory required
820
; to succesfully execute the longest function call chain of sc_main().
821
ScMainStackSize = 10 Mb
822
 
823
; Turn on/off execution of remainder of sc_main upon quitting the current
824
; simulation session. If the cumulative length of sc_main() in terms of
825
; simulation time units is less than the length of the current simulation
826
; run upon quit or restart, sc_main() will be in the middle of execution.
827
; This switch gives the option to execute the remainder of sc_main upon
828
; quitting simulation. The drawback of not running sc_main till the end
829
; is memory leaks for objects created by sc_main. If on, the remainder of
830
; sc_main will be executed ignoring all delays. This may cause the simulator
831
; to crash if the code in sc_main is dependent on some simulation state.
832
; Default is on.
833
ScMainFinishOnQuit = 1
834
 
835
; Set the SCV relationship name that will be used to identify phase
836
; relations.  If the name given to a transactor relation matches this
837
; name, the transactions involved will be treated as phase transactions
838
ScvPhaseRelationName = mti_phase
839
 
840
; Customize the vsim kernel shutdown behavior at the end of the simulation.
841
; Some common causes of the end of simulation are $finish (implicit or explicit),
842
; sc_stop(), tf_dofinish(), and assertion failures.
843
; This should be set to "ask", "exit", or "stop". The default is "ask".
844
; "ask"  -- In batch mode, the vsim kernel will abruptly exit.
845
;           In GUI mode, a dialog box will pop up and ask for user confirmation
846
;           whether or not to quit the simulation.
847
; "stop" -- Cause the simulation to stay loaded in memory. This can make some
848
;           post-simulation tasks easier.
849
; "exit" -- The simulation will abruptly exit without asking for any confirmation.
850
; Note: these ini variables can be overriden by the vsim command
851
;       line switch "-onfinish ".
852
OnFinish = ask
853
 
854
; Print "simstats" result at the end of simulation before shutdown.
855
; If this is enabled, the simstats result will be printed out before shutdown.
856
; The default is off.
857
; PrintSimStats = 1
858
 
859
; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
860
; AssertFile = assert.log
861
 
862
; Run simulator in assertion debug mode. Default is off.
863
; AssertionDebug = 1
864
 
865
; Turn on/off PSL/SVA concurrent assertion pass enable.
866
; For SVA, Default is on when the assertion has a pass action block, or
867
; the vsim -assertdebug option is used and the vopt "+acc=a" flag is active.
868
; For PSL, Default is on only when vsim switch "-assertdebug" is used
869
; and the vopt "+acc=a" flag is active.
870
; AssertionPassEnable = 0
871
 
872
; Turn on/off PSL/SVA concurrent assertion fail enable. Default is on.
873
; AssertionFailEnable = 0
874
 
875
; Set PSL/SVA concurrent assertion pass limit. Default is -1.
876
; Any positive integer, -1 for infinity.
877
; AssertionPassLimit = 1
878
 
879
; Set PSL/SVA concurrent assertion fail limit. Default is -1.
880
; Any positive integer, -1 for infinity.
881
; AssertionFailLimit = 1
882
 
883
; Turn on/off PSL concurrent assertion pass log. Default is off.
884
; The flag does not affect SVA
885
; AssertionPassLog = 1
886
 
887
; Turn on/off PSL concurrent assertion fail log. Default is on.
888
; The flag does not affect SVA
889
; AssertionFailLog = 0
890
 
891
; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode.  Default is on.
892
; AssertionFailLocalVarLog = 0
893
 
894
; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
895
; 0 = Continue  1 = Break  2 = Exit
896
; AssertionFailAction = 1
897
 
898
; Enable the active thread monitor in the waveform display when assertion debug is enabled.
899
; AssertionActiveThreadMonitor = 1
900
 
901
; Control how many waveform rows will be used for displaying the active threads.  Default is 5.
902
; AssertionActiveThreadMonitorLimit = 5
903
 
904
; Control how many thread start times will be preserved for ATV viewing for a given assertion
905
; instance.  Default is -1 (ALL).
906
; ATVStartTimeKeepCount = -1
907
 
908
; Turn on/off code coverage
909
; CodeCoverage = 0
910
 
911
; Count all code coverage condition and expression truth table rows that match.
912
; CoverCountAll = 1
913
 
914
; Turn off automatic inclusion of VHDL integers in toggle coverage. Default
915
; is to include them.
916
; ToggleNoIntegers = 1
917
 
918
; Set the maximum number of values that are collected for toggle coverage of
919
; VHDL integers. Default is 100;
920
; ToggleMaxIntValues = 100
921
 
922
; Turn on automatic inclusion of Verilog integers in toggle coverage, except
923
; for enumeration types. Default is to not include them.
924
; ToggleVlogIntegers = 1
925
 
926
; Limit the widths of registers automatically tracked for toggle coverage. Default is 128.
927
; For unlimited width, set to 0.
928
; ToggleWidthLimit = 128
929
 
930
; Limit the counts that are tracked for toggle coverage. When all edges for a bit have
931
; reached this count, further activity on the bit is ignored. Default is 1.
932
; For unlimited counts, set to 0.
933
; ToggleCountLimit = 1
934
 
935
; Turn on/off all PSL/SVA cover directive enables.  Default is on.
936
; CoverEnable = 0
937
 
938
; Turn on/off PSL/SVA cover log.  Default is off.
939
; CoverLog = 1
940
 
941
; Set "at_least" value for all PSL/SVA cover directives.  Default is 1.
942
; CoverAtLeast = 2
943
 
944
; Set "limit" value for all PSL/SVA cover directives.  Default is -1.
945
; Any positive integer, -1 for infinity.
946
; CoverLimit = 1
947
 
948
; Specify the coverage database filename.
949
; Default is "" (i.e. database is NOT automatically saved on close).
950
; UCDBFilename = vsim.ucdb
951
 
952
; Specify the maximum limit for the number of Cross (bin) products reported
953
; in XML and UCDB report against a Cross. A warning is issued if the limit
954
; is crossed.
955
; MaxReportRhsSVCrossProducts = 1000
956
 
957
; Specify the override for the "auto_bin_max" option for the Covergroups.
958
; If not specified then value from Covergroup "option" is used.
959
; SVCoverpointAutoBinMax = 64
960
 
961
; Specify the override for the value of "cross_num_print_missing"
962
; option for the Cross in Covergroups. If not specified then value
963
; specified in the "option.cross_num_print_missing" is used. This
964
; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
965
; value specified by user in source file and any SVCrossNumPrintMissingDefault
966
; specified in modelsim.ini.
967
; SVCrossNumPrintMissing = 0
968
 
969
; Specify whether to use the value of "cross_num_print_missing"
970
; option in report and GUI for the Cross in Covergroups. If not specified then
971
; cross_num_print_missing is ignored for creating reports and displaying
972
; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing".
973
; UseSVCrossNumPrintMissing = 0
974
 
975
; Specify the override for the value of "strobe" option for the
976
; Covergroup Type. If not specified then value in "type_option.strobe"
977
; will be used. This is runtime option which forces "strobe" to
978
; user specified value and supersedes user specified values in the
979
; SystemVerilog Code. NOTE: This also overrides the compile time
980
; default value override specified using "SVCovergroupStrobeDefault"
981
; SVCovergroupStrobe = 0
982
 
983
; Override for explicit assignments in source code to "option.goal" of
984
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
985
; default value of "option.goal" (defined to be 100 in the SystemVerilog
986
; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault".
987
; SVCovergroupGoal = 100
988
 
989
; Override for explicit assignments in source code to "type_option.goal" of
990
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
991
; default value of "type_option.goal" (defined to be 100 in the SystemVerilog
992
; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault".
993
; SVCovergroupTypeGoal = 100
994
 
995
; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage()
996
; builtin functions, and report. This setting changes the default values of
997
; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3
998
; behavior if explicit assignments are not made on option.get_inst_coverage and
999
; type_option.merge_instances by the user. There are two vsim command line
1000
; options, -cvg63 and -nocvg63 to override this setting from vsim command line.
1001
; The default value of this variable is 1
1002
; SVCovergroup63Compatibility = 1
1003
 
1004
; Enable or disable generation of more detailed information about the sampling
1005
; of covergroup, cross, and coverpoints. It provides the details of the number
1006
; of times the covergroup instance and type were sampled, as well as details
1007
; about why covergroup, cross and coverpoint were not covered. A non-zero value
1008
; is to enable this feature. 0 is to disable this feature. Default is 0
1009
; SVCovergroupSampleInfo = 0
1010
 
1011
; Specify the maximum number of Coverpoint bins in whole design for
1012
; all Covergroups.
1013
; MaxSVCoverpointBinsDesign = 2147483648
1014
 
1015
; Specify maximum number of Coverpoint bins in any instance of a Covergroup
1016
; MaxSVCoverpointBinsInst = 2147483648
1017
 
1018
; Specify the maximum number of Cross bins in whole design for
1019
; all Covergroups.
1020
; MaxSVCrossBinsDesign = 2147483648
1021
 
1022
; Specify maximum number of Cross bins in any instance of a Covergroup
1023
; MaxSVCrossBinsInst = 2147483648
1024
 
1025
; Set weight for all PSL/SVA cover directives.  Default is 1.
1026
; CoverWeight = 2
1027
 
1028
; Check vsim plusargs.  Default is 0 (off).
1029
; 0 = Don't check plusargs
1030
; 1 = Warning on unrecognized plusarg
1031
; 2 = Error and exit on unrecognized plusarg
1032
; CheckPlusargs = 1
1033
 
1034
; Load the specified shared objects with the RTLD_GLOBAL flag.
1035
; This gives global visibility to all symbols in the shared objects,
1036
; meaning that subsequently loaded shared objects can bind to symbols
1037
; in the global shared objects.  The list of shared objects should
1038
; be whitespace delimited.  This option is not supported on the
1039
; Windows or AIX platforms.
1040
; GlobalSharedObjectList = example1.so example2.so example3.so
1041
 
1042
; Run the 0in tools from within the simulator.
1043
; Default is off.
1044
; ZeroIn = 1
1045
 
1046
; Set the options to be passed to the 0in runtime tool.
1047
; Default value set to "".
1048
; ZeroInOptions = ""
1049
 
1050
; Initial seed for the Random Number Generator (RNG) of the root thread (SystemVerilog).
1051
; Sv_Seed = 0
1052
 
1053
; Maximum size of dynamic arrays that are resized during randomize().
1054
; The default is 1000. A value of 0 indicates no limit.
1055
; SolveArrayResizeMax = 1000
1056
 
1057
; Error message severity when randomize() failure is detected (SystemVerilog).
1058
; The default is 0 (no error).
1059
; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
1060
; SolveFailSeverity = 0
1061
 
1062
; Enable/disable debug information for randomize() failures (SystemVerilog).
1063
; The default is 0 (disabled). Set to 1 to enable.
1064
; SolveFailDebug = 0
1065
 
1066
; When SolveFailDebug is enabled, this value specifies the algorithm used to
1067
; discover conflicts between constraints for randomize() failures.
1068
; The default is "many".
1069
;
1070
; Valid schemes are:
1071
;    "many" = best for determining conflicts due to many related constraints
1072
;    "few"  = best for determining conflicts due to few related constraints
1073
;
1074
; SolveFailDebugScheme = many
1075
 
1076
; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value
1077
; specifies the maximum number of constraint subsets that will be tested for
1078
; conflicts.
1079
; The default is 0 (no limit).
1080
; SolveFailDebugLimit = 0
1081
 
1082
; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value
1083
; specifies the maximum size of constraint subsets that will be tested for
1084
; conflicts.
1085
; The default value is 0 (no limit).
1086
; SolveFailDebugMaxSet = 0
1087
 
1088
; Maximum size of the solution graph that may be generated during randomize().
1089
; This value can be used to force randomize() to abort if the complexity of
1090
; the constraint scenario (both in memory and time spent during evaluation)
1091
; exceeds the specified limit. This value is specified in 1000s of nodes.
1092
; The default is 10000. A value of 0 indicates no limit.
1093
; SolveGraphMaxSize = 10000
1094
 
1095
; Use SolveFlags to specify options that will guide the behavior of the
1096
; constraint solver. These options may improve the performance of the
1097
; constraint solver for some testcases, and decrease the performance of
1098
; the constraint solver for others.
1099
; The default value is "" (no options).
1100
;
1101
; Valid flags are:
1102
;    c = interleave bits of concatenation operands
1103
;    i = disable bit interleaving for >, >=, <, <= constraints
1104
;    n = disable bit interleaving for all constraints
1105
;    r = reverse bit interleaving
1106
;
1107
; SolveFlags =
1108
 
1109
; Specify random sequence compatiblity with a prior letter release. This
1110
; option is used to get the same random sequences during simulation as
1111
; as a prior letter release. Only prior letter releases (of the current
1112
; number release) are allowed.
1113
; Note: To achieve the same random sequences, solver optimizations and/or
1114
; bug fixes introduced since the specified release may be disabled -
1115
; yielding the performance / behavior of the prior release.
1116
; Default value set to "" (random compatibility not required).
1117
; SolveRev =
1118
 
1119
; Environment variable expansion of command line arguments has been depricated
1120
; in favor shell level expansion.  Universal environment variable expansion
1121
; inside -f files is support and continued support for MGC Location Maps provide
1122
; alternative methods for handling flexible pathnames.
1123
; The following line may be uncommented and the value set to 1 to re-enable this
1124
; deprecated behavior.  The default value is 0.
1125
; DeprecatedEnvironmentVariableExpansion = 0
1126
 
1127
; Turn on/off collapsing of bus ports in VCD dumpports output
1128
DumpportsCollapse = 1
1129
 
1130
; Location of Multi-Level Verification Component (MVC) installation.
1131
; The default location is the product installation directory.
1132
; MvcHome = $MODEL_TECH/...
1133
 
1134
[lmc]
1135
; The simulator's interface to Logic Modeling's SmartModel SWIFT software
1136
libsm = $MODEL_TECH/libsm.sl
1137
; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
1138
; libsm = $MODEL_TECH/libsm.dll
1139
;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
1140
; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
1141
;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
1142
; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
1143
;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
1144
; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
1145
;  Logic Modeling's SmartModel SWIFT software (Windows NT)
1146
; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
1147
;  Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux)
1148
; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
1149
;  Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux)
1150
; libswift = $LMC_HOME/lib/linux.lib/libswift.so
1151
 
1152
; The simulator's interface to Logic Modeling's hardware modeler SFI software
1153
libhm = $MODEL_TECH/libhm.sl
1154
; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
1155
; libhm = $MODEL_TECH/libhm.dll
1156
;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
1157
; libsfi = /lib/hp700/libsfi.sl
1158
;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
1159
; libsfi = /lib/rs6000/libsfi.a
1160
;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
1161
; libsfi = /lib/sun4.solaris/libsfi.so
1162
;  Logic Modeling's hardware modeler SFI software (Windows NT)
1163
; libsfi = /lib/pcnt/lm_sfi.dll
1164
;  Logic Modeling's hardware modeler SFI software (Linux)
1165
; libsfi = /lib/linux/libsfi.so
1166
 
1167
[msg_system]
1168
; Change a message severity or suppress a message.
1169
; The format is:  = [,...]
1170
; Examples:
1171
;   note = 3009
1172
;   warning = 3033
1173
;   error = 3010,3016
1174
;   fatal = 3016,3033
1175
;   suppress = 3009,3016,3043
1176
; The command verror  can be used to get the complete
1177
; description of a message.
1178
 
1179
; Control transcripting of Verilog display system task messages and
1180
; PLI/FLI print function call messages.  The system tasks include
1181
; $display[bho], $strobe[bho], Smonitor{bho], and $write[bho].  They
1182
; also include the analogous file I/O tasks that write to STDOUT
1183
; (i.e. $fwrite or $fdisplay).  The PLI/FLI calls include io_printf,
1184
; vpi_printf, mti_PrintMessage, and mti_PrintFormatted.  The default
1185
; is to have messages appear only in the transcript.  The other
1186
; settings are to send messages to the wlf file only (messages that
1187
; are recorded in the wlf file can be viewed in the MsgViewer) or
1188
; to both the transcript and the wlf file.  The valid values are
1189
;    tran  {transcript only (default)}
1190
;    wlf   {wlf file only}
1191
;    both  {transcript and wlf file}
1192
; displaymsgmode = tran
1193
 
1194
; Control transcripting of elaboration/runtime messages not
1195
; addressed by the displaymsgmode setting.  The default is to
1196
; have messages appear in the transcript and recorded in the wlf
1197
; file (messages that are recorded in the wlf file can be viewed
1198
; in the MsgViewer).  The other settings are to send messages
1199
; only to the transcript or only to the wlf file.  The valid
1200
; values are
1201
;    both  {default}
1202
;    tran  {transcript only}
1203
;    wlf   {wlf file only}
1204
; msgmode = both
1205
[Project]
1206
Project_Version = 6
1207
Project_DefaultLib = work
1208
Project_SortMethod = unused
1209
Project_Files_Count = 8
1210
Project_File_0 = C:/qaz/_CVS_WORK/units/wb_async_mem_bridge/sim/tests/debug/tb_top.v
1211
Project_File_P_0 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 vlog_noload 0 last_compile 1255736155 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 0 dont_compile 0 cover_expr 0 cover_stmt 0
1212
Project_File_1 = C:/qaz/_CVS_WORK/units/wb_async_mem_bridge/src/sync_edge_detect.v
1213
Project_File_P_1 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 last_compile 1254874408 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options +incdir+../../../src compile_to work vlog_upper 0 cover_noshort 0 compile_order 4 dont_compile 0 cover_expr 0 cover_stmt 0
1214
Project_File_2 = C:/qaz/_CVS_WORK/units/wb_async_mem_bridge/src/sync.v
1215
Project_File_P_2 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 last_compile 1251997300 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options +incdir+../../../src compile_to work vlog_upper 0 cover_noshort 0 compile_order 5 dont_compile 0 cover_expr 0 cover_stmt 0
1216
Project_File_3 = C:/qaz/_CVS_WORK/units/wb_async_mem_bridge/src/wb_async_mem_sm.v
1217
Project_File_P_3 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 vlog_noload 0 last_compile 1255737461 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options +incdir+../../../src compile_to work vlog_upper 0 cover_noshort 0 compile_order 7 dont_compile 0 cover_expr 0 cover_stmt 0
1218
Project_File_4 = C:/qaz/_CVS_WORK/units/wb_async_mem_bridge/sim/models/async_mem_master.v
1219
Project_File_P_4 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1255737931 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 2 cover_expr 0 dont_compile 0 cover_stmt 0
1220
Project_File_5 = C:/qaz/_CVS_WORK/units/wb_async_mem_bridge/sim/tests/debug/tb_dut.v
1221
Project_File_P_5 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1255481763 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 1 cover_expr 0 dont_compile 0 cover_stmt 0
1222
Project_File_6 = C:/qaz/_CVS_WORK/units/wb_async_mem_bridge/sim/models/wb_slave_model.v
1223
Project_File_P_6 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1255480557 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 6 cover_expr 0 dont_compile 0 cover_stmt 0
1224
Project_File_7 = C:/qaz/_CVS_WORK/units/wb_async_mem_bridge/src/wb_async_mem_bridge.v
1225
Project_File_P_7 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1255737852 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options +incdir+../../../src compile_order 3 cover_expr 0 dont_compile 0 cover_stmt 0
1226
Project_Sim_Count = 0
1227
Project_Folder_Count = 0
1228
Echo_Compile_Output = 0
1229
Save_Compile_Report = 1
1230
Project_Opt_Count = 0
1231
ForceSoftPaths = 0
1232
ReOpenSourceFiles = 1
1233
CloseSourceFiles = 1
1234
ProjectStatusDelay = 5000
1235
VERILOG_DoubleClick = Edit
1236
VERILOG_CustomDoubleClick =
1237
SYSTEMVERILOG_DoubleClick = Edit
1238
SYSTEMVERILOG_CustomDoubleClick =
1239
VHDL_DoubleClick = Edit
1240
VHDL_CustomDoubleClick =
1241
PSL_DoubleClick = Edit
1242
PSL_CustomDoubleClick =
1243
TEXT_DoubleClick = Edit
1244
TEXT_CustomDoubleClick =
1245
SYSTEMC_DoubleClick = Edit
1246
SYSTEMC_CustomDoubleClick =
1247
TCL_DoubleClick = Edit
1248
TCL_CustomDoubleClick =
1249
MACRO_DoubleClick = Edit
1250
MACRO_CustomDoubleClick =
1251
VCD_DoubleClick = Edit
1252
VCD_CustomDoubleClick =
1253
SDF_DoubleClick = Edit
1254
SDF_CustomDoubleClick =
1255
XML_DoubleClick = Edit
1256
XML_CustomDoubleClick =
1257
LOGFILE_DoubleClick = Edit
1258
LOGFILE_CustomDoubleClick =
1259
UCDB_DoubleClick = Edit
1260
UCDB_CustomDoubleClick =
1261
EditorState = {tabbed horizontal 1} {C:/qaz/_CVS_WORK/units/wb_async_mem_bridge/sim/tests/debug/tb_top.v 0 1}
1262
Project_Major_Version = 6
1263
Project_Minor_Version = 4

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.