OpenCores
URL https://opencores.org/ocsvn/wb_async_mem_bridge/wb_async_mem_bridge/trunk

Subversion Repositories wb_async_mem_bridge

[/] [wb_async_mem_bridge/] [trunk/] [src/] [test_harness.v] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 qaztronic
// --------------------------------------------------------------------
2
//
3
// --------------------------------------------------------------------
4
 
5
`include "timescale.v"
6
 
7
 
8
module
9
  test_harness(
10
    inout [35:0]    gpio_0,
11
    inout [35:0]    gpio_1,
12
 
13
    input           sys_clk_i,
14
    input           sys_rst_i
15
  );
16
 
17
 
18
// --------------------------------------------------------------------
19
//  wb_async_mem_bridge
20
  wire [31:0] wb_data_i;
21
  wire [31:0] wb_data_o;
22
  wire [31:0] wb_addr_o;
23
  wire [3:0]  wb_sel_o;
24
  wire        wb_we_o;
25
  wire        wb_cyc_o;
26
  wire        wb_stb_o;
27
  wire        wb_ack_i;
28
  wire        wb_err_i;
29
  wire        wb_rty_i;
30
 
31
  wb_async_mem_bridge i_wb_async_mem_bridge(
32
    .wb_data_i(wb_data_i),
33
    .wb_data_o(wb_data_o),
34
    .wb_addr_o(wb_addr_o),
35
    .wb_sel_o(wb_sel_o),
36
    .wb_we_o(wb_we_o),
37
    .wb_cyc_o(wb_cyc_o),
38
    .wb_stb_o(wb_stb_o),
39
    .wb_ack_i(wb_ack_i),
40
    .wb_err_i(wb_err_i),
41
    .wb_rty_i(wb_rty_i),
42
 
43
    .mem_d( gpio_1[31:0] ),
44
    .mem_a( {8'h00, gpio_0[23:0]} ),
45
    .mem_oe_n( gpio_0[30] ),
46
    .mem_bls_n( { gpio_0[26], gpio_0[27], gpio_0[28], gpio_0[29] } ),
47
    .mem_we_n( gpio_0[25] ),
48
    .mem_cs_n( gpio_0[24] ),
49
 
50
    .wb_clk_i(sys_clk_i),
51
    .wb_rst_i(sys_rst_i)
52
  );
53
 
54
 
55
 
56
// --------------------------------------------------------------------
57
//  soc_ram
58
  soc_ram
59
    i_soc_ram_0(
60
      .data(wb_data_o[7:0]),
61
      .addr(wb_addr_o[7:2]),
62
      .we(wb_we_o & wb_stb_o & wb_sel_o[0]),
63
      .clk(sys_clk_i),
64
      .q(wb_data_i[7:0])
65
    );
66
 
67
  soc_ram
68
    i_soc_ram_1(
69
      .data(wb_data_o[15:8]),
70
      .addr(wb_addr_o[7:2]),
71
      .we(wb_we_o & wb_stb_o & wb_sel_o[1]),
72
      .clk(sys_clk_i),
73
      .q(wb_data_i[15:8])
74
    );
75
 
76
  soc_ram
77
    i_soc_ram_2(
78
      .data(wb_data_o[23:16]),
79
      .addr(wb_addr_o[7:2]),
80
      .we(wb_we_o & wb_stb_o & wb_sel_o[2]),
81
      .clk(sys_clk_i),
82
      .q(wb_data_i[23:16])
83
    );
84
 
85
  soc_ram
86
    i_soc_ram_3(
87
      .data(wb_data_o[31:24]),
88
      .addr(wb_addr_o[7:2]),
89
      .we(wb_we_o & wb_stb_o & wb_sel_o[3]),
90
      .clk(sys_clk_i),
91
      .q(wb_data_i[31:24])
92
    );
93
 
94
 
95
endmodule
96
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.