OpenCores
URL https://opencores.org/ocsvn/wb_dma/wb_dma/trunk

Subversion Repositories wb_dma

[/] [wb_dma/] [trunk/] [bench/] [verilog/] [wb_slv_model.v] - Blame information for rev 15

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 rudi
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3
////  WISHBONE Slave Model                                       ////
4
////                                                             ////
5
////                                                             ////
6
////  Author: Rudolf Usselmann                                   ////
7
////          rudi@asics.ws                                      ////
8
////                                                             ////
9
////                                                             ////
10
////  Downloaded from: http://www.opencores.org/cores/wb_dma/    ////
11
////                                                             ////
12
/////////////////////////////////////////////////////////////////////
13
////                                                             ////
14 15 rudi
//// Copyright (C) 2000-2002 Rudolf Usselmann                    ////
15
////                         www.asics.ws                        ////
16
////                         rudi@asics.ws                       ////
17 5 rudi
////                                                             ////
18
//// This source file may be used and distributed without        ////
19
//// restriction provided that this copyright statement is not   ////
20
//// removed from the file and that any derivative work contains ////
21
//// the original copyright notice and the associated disclaimer.////
22
////                                                             ////
23
////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
24
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
25
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
26
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
27
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
28
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
29
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
30
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
31
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
32
//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
33
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
34
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
35
//// POSSIBILITY OF SUCH DAMAGE.                                 ////
36
////                                                             ////
37
/////////////////////////////////////////////////////////////////////
38
 
39
//  CVS Log
40
//
41 15 rudi
//  $Id: wb_slv_model.v,v 1.2 2002-02-01 01:55:44 rudi Exp $
42 5 rudi
//
43 15 rudi
//  $Date: 2002-02-01 01:55:44 $
44
//  $Revision: 1.2 $
45 5 rudi
//  $Author: rudi $
46
//  $Locker:  $
47
//  $State: Exp $
48
//
49
// Change History:
50
//               $Log: not supported by cvs2svn $
51 15 rudi
//               Revision 1.1  2001/07/29 08:57:02  rudi
52
//
53
//
54
//               1) Changed Directory Structure
55
//               2) Added restart signal (REST)
56
//
57 5 rudi
//               Revision 1.1.1.1  2001/03/19 13:11:29  rudi
58
//               Initial Release
59
//
60
//
61
//
62
 
63
`include "wb_model_defines.v"
64
 
65
module wb_slv(clk, rst, adr, din, dout, cyc, stb, sel, we, ack, err, rty);
66
 
67
input           clk, rst;
68
input   [31:0]   adr, din;
69
output  [31:0]   dout;
70
input           cyc, stb;
71
input   [3:0]    sel;
72
input           we;
73
output          ack, err, rty;
74
 
75
////////////////////////////////////////////////////////////////////
76
//
77
// Local Wires
78
//
79
 
80
parameter       mem_size = 13;
81
parameter       sz = (1<<mem_size)-1;
82
 
83
reg     [31:0]   mem[sz:0];
84
wire            mem_re, mem_we;
85
wire    [31:0]   tmp;
86
reg     [31:0]   dout, tmp2;
87
 
88
reg             err, rty;
89
reg     [31:0]   del_ack;
90
reg     [5:0]    delay;
91
 
92
////////////////////////////////////////////////////////////////////
93
//
94
// Memory Logic
95
//
96
 
97
initial
98
   begin
99
        delay = 0;
100
        err = 0;
101
        rty = 0;
102
        #2;
103
        $display("\nINFO: WISHBONE MEMORY MODEL INSTANTIATED (%m)");
104
        $display("      Memory Size %0d address lines %0d words\n",
105
        mem_size, sz+1);
106
   end
107
 
108
assign mem_re = cyc & stb & !we;
109
assign mem_we = cyc & stb &  we;
110
 
111
assign  tmp = mem[adr[mem_size+1:2]];
112
 
113
always @(sel or tmp or mem_re or ack)
114
        if(mem_re & ack)
115
           begin
116
                dout[31:24] <= #1 sel[3] ? tmp[31:24] : 8'hxx;
117
                dout[23:16] <= #1 sel[2] ? tmp[23:16] : 8'hxx;
118
                dout[15:08] <= #1 sel[1] ? tmp[15:08] : 8'hxx;
119
                dout[07:00] <= #1 sel[0] ? tmp[07:00] : 8'hxx;
120
           end
121
        else    dout <= #1 32'hzzzz_zzzz;
122
 
123
 
124
always @(sel or tmp or din)
125
   begin
126
        tmp2[31:24] = !sel[3] ? tmp[31:24] : din[31:24];
127
        tmp2[23:16] = !sel[2] ? tmp[23:16] : din[23:16];
128
        tmp2[15:08] = !sel[1] ? tmp[15:08] : din[15:08];
129
        tmp2[07:00] = !sel[0] ? tmp[07:00] : din[07:00];
130
   end
131
 
132
always @(posedge clk)
133
        if(mem_we)      mem[adr[mem_size+1:2]] <= #1 tmp2;
134
 
135
always @(posedge clk)
136
        del_ack = ack ? 0 : {del_ack[30:0], (mem_re | mem_we)};
137
 
138
assign  #3 ack = cyc & ((delay==0) ? (mem_re | mem_we) : del_ack[delay-1]);
139
 
140
task fill_mem;
141
input           mode;
142
 
143
integer         n, mode;
144
 
145
begin
146
 
147
for(n=0;n<(sz+1);n=n+1)
148
   begin
149
        case(mode)
150
           0:    mem[n] = { ~n[15:0], n[15:0] };
151
           1:   mem[n] = $random;
152
        endcase
153
   end
154
 
155
end
156
endtask
157
 
158
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.