OpenCores
URL https://opencores.org/ocsvn/wb_dma/wb_dma/trunk

Subversion Repositories wb_dma

[/] [wb_dma/] [trunk/] [bench/] [verilog/] [wb_slv_model.v] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 rudi
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3
////  WISHBONE Slave Model                                       ////
4
////                                                             ////
5
////                                                             ////
6
////  Author: Rudolf Usselmann                                   ////
7
////          rudi@asics.ws                                      ////
8
////                                                             ////
9
////                                                             ////
10
////  Downloaded from: http://www.opencores.org/cores/wb_dma/    ////
11
////                                                             ////
12
/////////////////////////////////////////////////////////////////////
13
////                                                             ////
14
//// Copyright (C) 2001 Rudolf Usselmann                         ////
15
////                    rudi@asics.ws                            ////
16
////                                                             ////
17
//// This source file may be used and distributed without        ////
18
//// restriction provided that this copyright statement is not   ////
19
//// removed from the file and that any derivative work contains ////
20
//// the original copyright notice and the associated disclaimer.////
21
////                                                             ////
22
////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
23
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
24
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
25
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
26
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
27
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
28
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
29
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
30
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
31
//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
32
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
33
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
34
//// POSSIBILITY OF SUCH DAMAGE.                                 ////
35
////                                                             ////
36
/////////////////////////////////////////////////////////////////////
37
 
38
//  CVS Log
39
//
40
//  $Id: wb_slv_model.v,v 1.1 2001-07-29 08:57:02 rudi Exp $
41
//
42
//  $Date: 2001-07-29 08:57:02 $
43
//  $Revision: 1.1 $
44
//  $Author: rudi $
45
//  $Locker:  $
46
//  $State: Exp $
47
//
48
// Change History:
49
//               $Log: not supported by cvs2svn $
50
//               Revision 1.1.1.1  2001/03/19 13:11:29  rudi
51
//               Initial Release
52
//
53
//
54
//
55
 
56
`include "wb_model_defines.v"
57
 
58
module wb_slv(clk, rst, adr, din, dout, cyc, stb, sel, we, ack, err, rty);
59
 
60
input           clk, rst;
61
input   [31:0]   adr, din;
62
output  [31:0]   dout;
63
input           cyc, stb;
64
input   [3:0]    sel;
65
input           we;
66
output          ack, err, rty;
67
 
68
////////////////////////////////////////////////////////////////////
69
//
70
// Local Wires
71
//
72
 
73
parameter       mem_size = 13;
74
parameter       sz = (1<<mem_size)-1;
75
 
76
reg     [31:0]   mem[sz:0];
77
wire            mem_re, mem_we;
78
wire    [31:0]   tmp;
79
reg     [31:0]   dout, tmp2;
80
 
81
reg             err, rty;
82
reg     [31:0]   del_ack;
83
reg     [5:0]    delay;
84
 
85
////////////////////////////////////////////////////////////////////
86
//
87
// Memory Logic
88
//
89
 
90
initial
91
   begin
92
        delay = 0;
93
        err = 0;
94
        rty = 0;
95
        #2;
96
        $display("\nINFO: WISHBONE MEMORY MODEL INSTANTIATED (%m)");
97
        $display("      Memory Size %0d address lines %0d words\n",
98
        mem_size, sz+1);
99
   end
100
 
101
assign mem_re = cyc & stb & !we;
102
assign mem_we = cyc & stb &  we;
103
 
104
assign  tmp = mem[adr[mem_size+1:2]];
105
 
106
always @(sel or tmp or mem_re or ack)
107
        if(mem_re & ack)
108
           begin
109
                dout[31:24] <= #1 sel[3] ? tmp[31:24] : 8'hxx;
110
                dout[23:16] <= #1 sel[2] ? tmp[23:16] : 8'hxx;
111
                dout[15:08] <= #1 sel[1] ? tmp[15:08] : 8'hxx;
112
                dout[07:00] <= #1 sel[0] ? tmp[07:00] : 8'hxx;
113
           end
114
        else    dout <= #1 32'hzzzz_zzzz;
115
 
116
 
117
always @(sel or tmp or din)
118
   begin
119
        tmp2[31:24] = !sel[3] ? tmp[31:24] : din[31:24];
120
        tmp2[23:16] = !sel[2] ? tmp[23:16] : din[23:16];
121
        tmp2[15:08] = !sel[1] ? tmp[15:08] : din[15:08];
122
        tmp2[07:00] = !sel[0] ? tmp[07:00] : din[07:00];
123
   end
124
 
125
always @(posedge clk)
126
        if(mem_we)      mem[adr[mem_size+1:2]] <= #1 tmp2;
127
 
128
always @(posedge clk)
129
        del_ack = ack ? 0 : {del_ack[30:0], (mem_re | mem_we)};
130
 
131
assign  #3 ack = cyc & ((delay==0) ? (mem_re | mem_we) : del_ack[delay-1]);
132
 
133
task fill_mem;
134
input           mode;
135
 
136
integer         n, mode;
137
 
138
begin
139
 
140
for(n=0;n<(sz+1);n=n+1)
141
   begin
142
        case(mode)
143
           0:    mem[n] = { ~n[15:0], n[15:0] };
144
           1:   mem[n] = $random;
145
        endcase
146
   end
147
 
148
end
149
endtask
150
 
151
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.