OpenCores
URL https://opencores.org/ocsvn/wb_dma/wb_dma/trunk

Subversion Repositories wb_dma

[/] [wb_dma/] [trunk/] [rtl/] [verilog/] [wb_dma_de.v] - Blame information for rev 17

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 rudi
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3
////  WISHBONE DMA DMA Engine Core                               ////
4
////                                                             ////
5
////                                                             ////
6
////  Author: Rudolf Usselmann                                   ////
7
////          rudi@asics.ws                                      ////
8
////                                                             ////
9
////                                                             ////
10
////  Downloaded from: http://www.opencores.org/cores/wb_dma/    ////
11
////                                                             ////
12
/////////////////////////////////////////////////////////////////////
13
////                                                             ////
14 15 rudi
//// Copyright (C) 2000-2002 Rudolf Usselmann                    ////
15
////                         www.asics.ws                        ////
16
////                         rudi@asics.ws                       ////
17 5 rudi
////                                                             ////
18
//// This source file may be used and distributed without        ////
19
//// restriction provided that this copyright statement is not   ////
20
//// removed from the file and that any derivative work contains ////
21
//// the original copyright notice and the associated disclaimer.////
22
////                                                             ////
23
////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
24
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
25
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
26
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
27
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
28
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
29
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
30
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
31
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
32
//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
33
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
34
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
35
//// POSSIBILITY OF SUCH DAMAGE.                                 ////
36
////                                                             ////
37
/////////////////////////////////////////////////////////////////////
38
 
39
//  CVS Log
40
//
41 15 rudi
//  $Id: wb_dma_de.v,v 1.3 2002-02-01 01:54:45 rudi Exp $
42 5 rudi
//
43 15 rudi
//  $Date: 2002-02-01 01:54:45 $
44
//  $Revision: 1.3 $
45 5 rudi
//  $Author: rudi $
46
//  $Locker:  $
47
//  $State: Exp $
48
//
49
// Change History:
50
//               $Log: not supported by cvs2svn $
51 15 rudi
//               Revision 1.2  2001/08/15 05:40:30  rudi
52
//
53
//               - Changed IO names to be more clear.
54
//               - Uniquifyed define names to be core specific.
55
//               - Added Section 3.10, describing DMA restart.
56
//
57 8 rudi
//               Revision 1.1  2001/07/29 08:57:02  rudi
58
//
59
//
60
//               1) Changed Directory Structure
61
//               2) Added restart signal (REST)
62
//
63 5 rudi
//               Revision 1.3  2001/06/13 02:26:48  rudi
64
//
65
//
66
//               Small changes after running lint.
67
//
68
//               Revision 1.2  2001/06/05 10:22:36  rudi
69
//
70
//
71
//               - Added Support of up to 31 channels
72
//               - Added support for 2,4 and 8 priority levels
73
//               - Now can have up to 31 channels
74
//               - Added many configuration items
75
//               - Changed reset to async
76
//
77
//               Revision 1.1.1.1  2001/03/19 13:10:44  rudi
78
//               Initial Release
79
//
80
//
81
//
82
 
83
`include "wb_dma_defines.v"
84
 
85
module wb_dma_de(clk, rst,
86
 
87
        // WISHBONE MASTER INTERFACE 0
88
        mast0_go, mast0_we, mast0_adr, mast0_din,
89
        mast0_dout, mast0_err, mast0_drdy, mast0_wait,
90
 
91
        // WISHBONE MASTER INTERFACE 1
92
        mast1_go, mast1_we, mast1_adr, mast1_din,
93
        mast1_dout, mast1_err, mast1_drdy, mast1_wait,
94
 
95
        // DMA Engine Init & Setup
96
        de_start, nd, csr, pointer, pointer_s, txsz,
97
        adr0, adr1, am0, am1,
98
 
99
        // DMA Engine Register File Update Outputs
100
        de_csr_we, de_txsz_we, de_adr0_we, de_adr1_we, ptr_set,
101
        de_csr, de_txsz, de_adr0, de_adr1, de_fetch_descr,
102
 
103
        // DMA Engine Control Outputs
104
        next_ch, de_ack,
105
 
106
        // DMA Engine Status
107
        pause_req, paused,
108
        dma_abort, dma_busy, dma_err, dma_done, dma_done_all
109
        );
110
 
111
input           clk, rst;
112
 
113
// --------------------------------------
114
// WISHBONE MASTER INTERFACE 0
115
 
116
output          mast0_go;       // Perform a Master Cycle
117
output          mast0_we;       // Read/Write
118
output  [31:0]   mast0_adr;      // Address for the transfer
119
input   [31:0]   mast0_din;      // Internal Input Data
120
output  [31:0]   mast0_dout;     // Internal Output Data
121
input           mast0_err;      // Indicates an error has occurred
122
input           mast0_drdy;     // Indicated that either data is available
123
                                // during a read, or that the master can accept
124
                                // the next data during a write
125
output          mast0_wait;     // Tells the master to insert wait cycles
126
                                // because data can not be accepted/provided
127
 
128
// --------------------------------------
129
// WISHBONE MASTER INTERFACE 1
130
 
131
output          mast1_go;       // Perform a Master Cycle
132
output          mast1_we;       // Read/Write
133
output  [31:0]   mast1_adr;      // Address for the transfer
134
input   [31:0]   mast1_din;      // Internal Input Data
135
output  [31:0]   mast1_dout;     // Internal Output Data
136
input           mast1_err;      // Indicates an error has occurred
137
input           mast1_drdy;     // Indicated that either data is available
138
                                // during a read, or that the master can accept
139
                                // the next data during a write
140
output          mast1_wait;     // Tells the master to insert wait cycles
141
                                // because data can not be accepted/provided
142
 
143
// --------------------------------------
144
// DMA Engine Signals
145
 
146
// DMA Engine Init & Setup
147
input           de_start;       // Start DMA Engine Indicator
148
input           nd;             // Next Descriptor Indicator
149
input   [31:0]   csr;            // Selected Channel CSR
150
input   [31:0]   pointer;        // Linked List Descriptor pointer
151
input   [31:0]   pointer_s;      // Previous Pointer
152
input   [31:0]   txsz;           // Selected Channel Transfer Size
153
input   [31:0]   adr0, adr1;     // Selected Channel Addresses
154
input   [31:0]   am0, am1;       // Selected Channel Address Masks
155
 
156
// DMA Engine Register File Update Outputs
157
output          de_csr_we;      // Write enable for csr register
158
output          de_txsz_we;     // Write enable for txsz register
159
output          de_adr0_we;     // Write enable for adr0 register
160
output          de_adr1_we;     // Write enable for adr1 register
161
output          ptr_set;        // Set Pointer as Valid
162
output  [31:0]   de_csr;         // Write Data for CSR when loading External Desc.
163
output  [11:0]   de_txsz;        // Write back data for txsz register
164
output  [31:0]   de_adr0;        // Write back data for adr0 register
165
output  [31:0]   de_adr1;        // Write back data for adr1 register
166
output          de_fetch_descr; // Indicates that we are fetching a descriptor
167
 
168
// DMA Engine Control Outputs
169
output          next_ch;        // Indicates the DMA Engine is done
170
output          de_ack;
171
 
172
// DMA Abort from RF (software forced abort)
173
input           dma_abort;
174
 
175
// DMA Engine Status
176
input           pause_req;
177
output          paused;
178
output          dma_busy, dma_err, dma_done, dma_done_all;
179
 
180
////////////////////////////////////////////////////////////////////
181
//
182
// Local Wires
183
//
184
 
185
parameter       [10:0]   // synopsys enum state
186
                IDLE            = 11'b000_0000_0001,
187
                READ            = 11'b000_0000_0010,
188
                WRITE           = 11'b000_0000_0100,
189
                UPDATE          = 11'b000_0000_1000,
190
                LD_DESC1        = 11'b000_0001_0000,
191
                LD_DESC2        = 11'b000_0010_0000,
192
                LD_DESC3        = 11'b000_0100_0000,
193
                LD_DESC4        = 11'b000_1000_0000,
194
                LD_DESC5        = 11'b001_0000_0000,
195
                WB              = 11'b010_0000_0000,
196
                PAUSE           = 11'b100_0000_0000;
197
 
198
reg     [10:0]   /* synopsys enum state */ state, next_state;
199
// synopsys state_vector state
200
 
201
reg     [31:0]   mast0_adr, mast1_adr;
202
 
203
reg     [29:0]   adr0_cnt, adr1_cnt;
204
wire    [29:0]   adr0_cnt_next, adr1_cnt_next;
205
wire    [29:0]   adr0_cnt_next1, adr1_cnt_next1;
206
reg             adr0_inc, adr1_inc;
207
 
208
reg     [8:0]    chunk_cnt;
209
reg             chunk_dec;
210
 
211
reg     [11:0]   tsz_cnt;
212
reg             tsz_dec;
213
 
214
reg             de_txsz_we;
215
reg             de_csr_we;
216
reg             de_adr0_we;
217
reg             de_adr1_we;
218
reg             ld_desc_sel;
219
 
220
wire            chunk_cnt_is_0_d;
221
reg             chunk_cnt_is_0_r;
222
wire            tsz_cnt_is_0_d;
223
reg             tsz_cnt_is_0_r;
224
 
225
reg             read, write;
226
reg             read_r, write_r;
227
wire            rd_ack, wr_ack;
228
reg             rd_ack_r;
229
 
230
reg             chunk_0;
231
wire            done;
232
reg             dma_done_d;
233
reg             dma_done_r;
234
reg             dma_abort_r;
235
reg             next_ch;
236
wire            read_hold, write_hold;
237
reg             write_hold_r;
238
 
239
reg     [1:0]    ptr_adr_low;
240
reg             m0_go;
241
reg             m0_we;
242
reg             ptr_set;
243
 
244
// Aliases
245
wire            a0_inc_en = csr[4];     // Source Address (Adr 0) increment enable
246
wire            a1_inc_en = csr[3];     // Dest. Address (Adr 1) increment enable
247
wire            ptr_valid = pointer[0];
248 8 rudi
wire            use_ed = csr[`WDMA_USE_ED];
249 5 rudi
 
250
reg             mast0_drdy_r;
251
reg             paused;
252
 
253
reg             de_fetch_descr;         // Indicates that we are fetching a descriptor
254
////////////////////////////////////////////////////////////////////
255
//
256
// Misc Logic
257
//
258
 
259
always @(posedge clk)
260
        dma_done_r <= #1 dma_done;
261
 
262
// Address Counter 0 (Source Address)
263
always @(posedge clk)
264
        if(de_start | ptr_set)          adr0_cnt <= #1 adr0[31:2];
265
        else
266
        if(adr0_inc & a0_inc_en)        adr0_cnt <= #1 adr0_cnt_next;
267
 
268
// 30 Bit Incrementor (registered)
269
wb_dma_inc30r u0(       .clk(   clk             ),
270 15 rudi
                        .in(    adr0_cnt        ),
271
                        .out(   adr0_cnt_next1  )       );
272 5 rudi
 
273
assign adr0_cnt_next[1:0] = adr0_cnt_next1[1:0];
274
assign adr0_cnt_next[2] = am0[4] ? adr0_cnt_next1[2] : adr0_cnt[2];
275
assign adr0_cnt_next[3] = am0[5] ? adr0_cnt_next1[3] : adr0_cnt[3];
276
assign adr0_cnt_next[4] = am0[6] ? adr0_cnt_next1[4] : adr0_cnt[4];
277
assign adr0_cnt_next[5] = am0[7] ? adr0_cnt_next1[5] : adr0_cnt[5];
278
assign adr0_cnt_next[6] = am0[8] ? adr0_cnt_next1[6] : adr0_cnt[6];
279
assign adr0_cnt_next[7] = am0[9] ? adr0_cnt_next1[7] : adr0_cnt[7];
280
assign adr0_cnt_next[8] = am0[10] ? adr0_cnt_next1[8] : adr0_cnt[8];
281
assign adr0_cnt_next[9] = am0[11] ? adr0_cnt_next1[9] : adr0_cnt[9];
282
assign adr0_cnt_next[10] = am0[12] ? adr0_cnt_next1[10] : adr0_cnt[10];
283
assign adr0_cnt_next[11] = am0[13] ? adr0_cnt_next1[11] : adr0_cnt[11];
284
assign adr0_cnt_next[12] = am0[14] ? adr0_cnt_next1[12] : adr0_cnt[12];
285
assign adr0_cnt_next[13] = am0[15] ? adr0_cnt_next1[13] : adr0_cnt[13];
286
assign adr0_cnt_next[14] = am0[16] ? adr0_cnt_next1[14] : adr0_cnt[14];
287
assign adr0_cnt_next[15] = am0[17] ? adr0_cnt_next1[15] : adr0_cnt[15];
288
assign adr0_cnt_next[16] = am0[18] ? adr0_cnt_next1[16] : adr0_cnt[16];
289
assign adr0_cnt_next[17] = am0[19] ? adr0_cnt_next1[17] : adr0_cnt[17];
290
assign adr0_cnt_next[18] = am0[20] ? adr0_cnt_next1[18] : adr0_cnt[18];
291
assign adr0_cnt_next[19] = am0[21] ? adr0_cnt_next1[19] : adr0_cnt[19];
292
assign adr0_cnt_next[20] = am0[22] ? adr0_cnt_next1[20] : adr0_cnt[20];
293
assign adr0_cnt_next[21] = am0[23] ? adr0_cnt_next1[21] : adr0_cnt[21];
294
assign adr0_cnt_next[22] = am0[24] ? adr0_cnt_next1[22] : adr0_cnt[22];
295
assign adr0_cnt_next[23] = am0[25] ? adr0_cnt_next1[23] : adr0_cnt[23];
296
assign adr0_cnt_next[24] = am0[26] ? adr0_cnt_next1[24] : adr0_cnt[24];
297
assign adr0_cnt_next[25] = am0[27] ? adr0_cnt_next1[25] : adr0_cnt[25];
298
assign adr0_cnt_next[26] = am0[28] ? adr0_cnt_next1[26] : adr0_cnt[26];
299
assign adr0_cnt_next[27] = am0[29] ? adr0_cnt_next1[27] : adr0_cnt[27];
300
assign adr0_cnt_next[28] = am0[30] ? adr0_cnt_next1[28] : adr0_cnt[28];
301
assign adr0_cnt_next[29] = am0[31] ? adr0_cnt_next1[29] : adr0_cnt[29];
302
 
303
 
304
// Address Counter 1 (Destination Address)
305
always @(posedge clk)
306
        if(de_start | ptr_set)          adr1_cnt <= #1 adr1[31:2];
307
        else
308
        if(adr1_inc & a1_inc_en)        adr1_cnt <= #1 adr1_cnt_next;
309
 
310
// 30 Bit Incrementor (registered)
311
wb_dma_inc30r u1(       .clk(   clk             ),
312 15 rudi
                        .in(    adr1_cnt        ),
313
                        .out(   adr1_cnt_next1  )       );
314 5 rudi
 
315
assign adr1_cnt_next[1:0] = adr1_cnt_next1[1:0];
316
assign adr1_cnt_next[2] = am1[4] ? adr1_cnt_next1[2] : adr1_cnt[2];
317
assign adr1_cnt_next[3] = am1[5] ? adr1_cnt_next1[3] : adr1_cnt[3];
318
assign adr1_cnt_next[4] = am1[6] ? adr1_cnt_next1[4] : adr1_cnt[4];
319
assign adr1_cnt_next[5] = am1[7] ? adr1_cnt_next1[5] : adr1_cnt[5];
320
assign adr1_cnt_next[6] = am1[8] ? adr1_cnt_next1[6] : adr1_cnt[6];
321
assign adr1_cnt_next[7] = am1[9] ? adr1_cnt_next1[7] : adr1_cnt[7];
322
assign adr1_cnt_next[8] = am1[10] ? adr1_cnt_next1[8] : adr1_cnt[8];
323
assign adr1_cnt_next[9] = am1[11] ? adr1_cnt_next1[9] : adr1_cnt[9];
324
assign adr1_cnt_next[10] = am1[12] ? adr1_cnt_next1[10] : adr1_cnt[10];
325
assign adr1_cnt_next[11] = am1[13] ? adr1_cnt_next1[11] : adr1_cnt[11];
326
assign adr1_cnt_next[12] = am1[14] ? adr1_cnt_next1[12] : adr1_cnt[12];
327
assign adr1_cnt_next[13] = am1[15] ? adr1_cnt_next1[13] : adr1_cnt[13];
328
assign adr1_cnt_next[14] = am1[16] ? adr1_cnt_next1[14] : adr1_cnt[14];
329
assign adr1_cnt_next[15] = am1[17] ? adr1_cnt_next1[15] : adr1_cnt[15];
330
assign adr1_cnt_next[16] = am1[18] ? adr1_cnt_next1[16] : adr1_cnt[16];
331
assign adr1_cnt_next[17] = am1[19] ? adr1_cnt_next1[17] : adr1_cnt[17];
332
assign adr1_cnt_next[18] = am1[20] ? adr1_cnt_next1[18] : adr1_cnt[18];
333
assign adr1_cnt_next[19] = am1[21] ? adr1_cnt_next1[19] : adr1_cnt[19];
334
assign adr1_cnt_next[20] = am1[22] ? adr1_cnt_next1[20] : adr1_cnt[20];
335
assign adr1_cnt_next[21] = am1[23] ? adr1_cnt_next1[21] : adr1_cnt[21];
336
assign adr1_cnt_next[22] = am1[24] ? adr1_cnt_next1[22] : adr1_cnt[22];
337
assign adr1_cnt_next[23] = am1[25] ? adr1_cnt_next1[23] : adr1_cnt[23];
338
assign adr1_cnt_next[24] = am1[26] ? adr1_cnt_next1[24] : adr1_cnt[24];
339
assign adr1_cnt_next[25] = am1[27] ? adr1_cnt_next1[25] : adr1_cnt[25];
340
assign adr1_cnt_next[26] = am1[28] ? adr1_cnt_next1[26] : adr1_cnt[26];
341
assign adr1_cnt_next[27] = am1[29] ? adr1_cnt_next1[27] : adr1_cnt[27];
342
assign adr1_cnt_next[28] = am1[30] ? adr1_cnt_next1[28] : adr1_cnt[28];
343
assign adr1_cnt_next[29] = am1[31] ? adr1_cnt_next1[29] : adr1_cnt[29];
344
 
345
// Chunk Counter
346
always @(posedge clk)
347
        if(de_start)                            chunk_cnt <= #1 txsz[24:16];
348
        else
349
        if(chunk_dec & !chunk_cnt_is_0_r)       chunk_cnt <= #1 chunk_cnt - 9'h1;
350
 
351
assign chunk_cnt_is_0_d = (chunk_cnt == 9'h0);
352
 
353
always @(posedge clk)
354
        chunk_cnt_is_0_r <= #1 chunk_cnt_is_0_d;
355
 
356
// Total Size Counter
357
always @(posedge clk)
358
        if(de_start | ptr_set)          tsz_cnt <= #1 txsz[11:0];
359
        else
360
        if(tsz_dec & !tsz_cnt_is_0_r)   tsz_cnt <= #1 tsz_cnt - 12'h1;
361
 
362
assign tsz_cnt_is_0_d = (tsz_cnt == 12'h0) & !txsz[15];
363
 
364
always @(posedge clk)
365
        tsz_cnt_is_0_r <= #1 tsz_cnt_is_0_d;
366
 
367
// Counter Control Logic
368
always @(posedge clk)
369
        chunk_dec <= #1 read & !read_r;
370
 
371
always @(posedge clk)
372
        tsz_dec <= #1 read & !read_r;
373
 
374
//always @(posedge clk)
375
always @(rd_ack or read_r)
376
        adr0_inc = rd_ack & read_r;
377
 
378
//always @(posedge clk)
379
always @(wr_ack or write_r)
380
        adr1_inc = wr_ack & write_r;
381
 
382
// Done logic
383
always @(posedge clk)
384
        chunk_0 <= #1 (txsz[24:16] == 9'h0);
385
 
386
assign done = chunk_0 ? tsz_cnt_is_0_d : (tsz_cnt_is_0_d | chunk_cnt_is_0_d);
387
assign dma_done = dma_done_d & done;
388
assign dma_done_all = dma_done_d & (tsz_cnt_is_0_r | (nd & chunk_cnt_is_0_d));
389
 
390
always @(posedge clk)
391
        next_ch <= #1 dma_done;
392
 
393
// Register Update Outputs
394
assign de_txsz = ld_desc_sel ? mast0_din[11:0] : tsz_cnt;
395
assign de_adr0 = ld_desc_sel ? mast0_din : {adr0_cnt, 2'b00};
396
assign de_adr1 = ld_desc_sel ? mast0_din : {adr1_cnt, 2'b00};
397
assign de_csr = mast0_din;
398
 
399
// Abort logic
400
always @(posedge clk)
401
        dma_abort_r <= #1 dma_abort | mast0_err | mast1_err;
402
 
403
assign  dma_err = dma_abort_r;
404
 
405
assign dma_busy = (state != IDLE);
406
 
407
////////////////////////////////////////////////////////////////////
408
//
409
// WISHBONE Interface Logic
410
//
411
 
412
always @(posedge clk)
413
        read_r <= #1 read;
414
 
415
always @(posedge clk)
416
        write_r <= #1 write;
417
 
418
always @(posedge clk)
419
        rd_ack_r <= #1 read_r;
420
 
421
// Data Path
422
assign mast0_dout = m0_we ? {20'h0, tsz_cnt} : csr[2] ? mast1_din : mast0_din;
423
assign mast1_dout = csr[2] ? mast1_din : mast0_din;
424
 
425
// Address Path
426
always @(posedge clk)
427
        mast0_adr <= #1 m0_go ?
428
                (m0_we ? pointer_s : {pointer[31:4], ptr_adr_low, 2'b00}) :
429
                read ? {adr0_cnt, 2'b00} : {adr1_cnt, 2'b00};
430
 
431
always @(posedge clk)
432
        mast1_adr <= #1 read ? {adr0_cnt, 2'b00} : {adr1_cnt, 2'b00};
433
 
434
// CTRL
435
assign write_hold = (read | write) & write_hold_r;
436
 
437
always @(posedge clk)
438
        write_hold_r <= #1 read | write;
439
 
440
assign read_hold = done ? read : (read | write);
441
 
442
assign mast0_go = (!csr[2] & read_hold) | (!csr[1] & write_hold) | m0_go;
443
assign mast1_go = ( csr[2] & read_hold) | ( csr[1] & write_hold);
444
 
445
assign mast0_we = m0_go ? m0_we : (!csr[1] & write);
446
assign mast1_we = csr[1] & write;
447
 
448
assign rd_ack = (csr[2] ? mast1_drdy : mast0_drdy);
449
assign wr_ack = (csr[1] ? mast1_drdy : mast0_drdy);
450
 
451
assign mast0_wait = !((!csr[2] & read) | (!csr[1] & write)) & !m0_go;
452
assign mast1_wait = !(( csr[2] & read) | ( csr[1] & write));
453
 
454
always @(posedge clk)
455
        mast0_drdy_r <= #1 mast0_drdy;
456
 
457
assign  de_ack = dma_done;
458
 
459
////////////////////////////////////////////////////////////////////
460
//
461
// State Machine
462
//
463
 
464
always @(posedge clk or negedge rst)
465
        if(!rst)        state <= #1 IDLE;
466
        else            state <= #1 next_state;
467
 
468
always @(state or pause_req or dma_abort_r or de_start or rd_ack or wr_ack or
469
        done or ptr_valid or use_ed or mast0_drdy or mast0_drdy_r or csr or nd)
470
   begin
471
        next_state = state;     // Default keep state
472
        read = 1'b0;
473
        write = 1'b0;
474
        dma_done_d = 1'b0;
475
        de_csr_we = 1'b0;
476
        de_txsz_we = 1'b0;
477
        de_adr0_we = 1'b0;
478
        de_adr1_we = 1'b0;
479
        de_fetch_descr = 1'b0;
480
 
481
        m0_go = 1'b0;
482
        m0_we = 1'b0;
483
        ptr_adr_low = 2'h0;
484
        ptr_set = 1'b0;
485
        ld_desc_sel = 1'b0;
486
        paused = 1'b0;
487
 
488
        case(state)             // synopsys parallel_case full_case
489
 
490
           IDLE:
491
             begin
492
                if(pause_req)                   next_state = PAUSE;
493
                else
494 8 rudi
                if(de_start & !csr[`WDMA_ERR])
495 5 rudi
                   begin
496
                        if(use_ed & !ptr_valid) next_state = LD_DESC1;
497
                        else                    next_state = READ;
498
                   end
499
             end
500
 
501
           PAUSE:
502
             begin
503
                paused = 1'b1;
504
                if(!pause_req)          next_state = IDLE;
505
             end
506
 
507
           READ:        // Read From Source
508
             begin
509
                if(dma_abort_r) next_state = UPDATE;
510
                else
511
                if(!rd_ack)     read = 1'b1;
512
                else
513
                   begin
514
                        write = 1'b1;
515
                        next_state = WRITE;
516
                   end
517
             end
518
 
519
           WRITE:       // Write To Destination
520
             begin
521
                if(dma_abort_r) next_state = UPDATE;
522
                else
523
                if(!wr_ack)     write = 1'b1;
524
                else
525
                   begin
526
                        if(done)        next_state = UPDATE;
527
                        else
528
                           begin
529
                                read = 1'b1;
530
                                next_state = READ;
531
                           end
532
                   end
533
             end
534
 
535
           UPDATE:      // Update Registers
536
             begin
537
                dma_done_d = 1'b1;
538
                de_txsz_we = 1'b1;
539
                de_adr0_we = 1'b1;
540
                de_adr1_we = 1'b1;
541 8 rudi
                if(use_ed & csr[`WDMA_WRB] & nd)
542 5 rudi
                   begin
543
                        m0_we = 1'b1;
544
                        m0_go = 1'b1;
545
                        next_state = WB;
546
                   end
547
                else                    next_state = IDLE;
548
             end
549
 
550
           WB:
551
             begin
552
                m0_we = 1'b1;
553
                if(mast0_drdy)
554
                   begin
555
                        next_state = IDLE;
556
                   end
557
                else    m0_go = 1'b1;
558
             end
559
 
560
           LD_DESC1:    // Load Descriptor from memory to registers
561
             begin
562
                ptr_adr_low = 2'h0;
563
                ld_desc_sel = 1'b1;
564
                m0_go = 1'b1;
565
                de_csr_we = 1'b1;
566
                de_txsz_we = 1'b1;
567
                de_fetch_descr = 1'b1;
568
                if(mast0_drdy)
569
                   begin
570
                        ptr_adr_low = 2'h1;
571
                        next_state = LD_DESC2;
572
                   end
573
             end
574
 
575
           LD_DESC2:
576
             begin
577
                de_fetch_descr = 1'b1;
578
                if(mast0_drdy_r)        de_csr_we = 1'b1;
579
                if(mast0_drdy_r)        de_txsz_we = 1'b1;
580
                ptr_adr_low = 2'h1;
581
                ld_desc_sel = 1'b1;
582
                m0_go = 1'b1;
583
                if(mast0_drdy)
584
                   begin
585
                        ptr_adr_low = 2'h2;
586
                        next_state = LD_DESC3;
587
                   end
588
             end
589
 
590
           LD_DESC3:
591
             begin
592
                de_fetch_descr = 1'b1;
593
                if(mast0_drdy_r)        de_adr0_we = 1'b1;
594
                ptr_adr_low = 2'h2;
595
                ld_desc_sel = 1'b1;
596
                m0_go = 1'b1;
597
                if(mast0_drdy)
598
                   begin
599
                        ptr_adr_low = 2'h3;
600
                        next_state = LD_DESC4;
601
                   end
602
             end
603
 
604
           LD_DESC4:
605
             begin
606
                de_fetch_descr = 1'b1;
607
                if(mast0_drdy_r)        de_adr1_we = 1'b1;
608
                ptr_adr_low = 2'h3;
609
                ld_desc_sel = 1'b1;
610
                if(mast0_drdy)
611
                   begin
612
                        next_state = LD_DESC5;
613
                   end
614
                else    m0_go = 1'b1;
615
             end
616
 
617
           LD_DESC5:
618
             begin
619
                de_fetch_descr = 1'b1;
620
                ptr_set = 1'b1;
621
                next_state = READ;
622
             end
623
 
624
        endcase
625
 
626
   end
627
 
628
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.