OpenCores
URL https://opencores.org/ocsvn/wb_dma/wb_dma/trunk

Subversion Repositories wb_dma

[/] [wb_dma/] [trunk/] [rtl/] [verilog/] [wb_dma_wb_slv.v] - Blame information for rev 10

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 rudi
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3
////  WISHBONE DMA WISHBONE Slave Interface                      ////
4
////                                                             ////
5
////                                                             ////
6
////  Author: Rudolf Usselmann                                   ////
7
////          rudi@asics.ws                                      ////
8
////                                                             ////
9
////                                                             ////
10
////  Downloaded from: http://www.opencores.org/cores/wb_dma/    ////
11
////                                                             ////
12
/////////////////////////////////////////////////////////////////////
13
////                                                             ////
14
//// Copyright (C) 2001 Rudolf Usselmann                         ////
15
////                    rudi@asics.ws                            ////
16
////                                                             ////
17
//// This source file may be used and distributed without        ////
18
//// restriction provided that this copyright statement is not   ////
19
//// removed from the file and that any derivative work contains ////
20
//// the original copyright notice and the associated disclaimer.////
21
////                                                             ////
22
////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
23
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
24
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
25
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
26
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
27
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
28
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
29
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
30
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
31
//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
32
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
33
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
34
//// POSSIBILITY OF SUCH DAMAGE.                                 ////
35
////                                                             ////
36
/////////////////////////////////////////////////////////////////////
37
 
38
//  CVS Log
39
//
40 10 rudi
//  $Id: wb_dma_wb_slv.v,v 1.3 2001-10-19 04:35:04 rudi Exp $
41 5 rudi
//
42 10 rudi
//  $Date: 2001-10-19 04:35:04 $
43
//  $Revision: 1.3 $
44 5 rudi
//  $Author: rudi $
45
//  $Locker:  $
46
//  $State: Exp $
47
//
48
// Change History:
49
//               $Log: not supported by cvs2svn $
50 10 rudi
//               Revision 1.2  2001/08/15 05:40:30  rudi
51
//
52
//               - Changed IO names to be more clear.
53
//               - Uniquifyed define names to be core specific.
54
//               - Added Section 3.10, describing DMA restart.
55
//
56 8 rudi
//               Revision 1.1  2001/07/29 08:57:02  rudi
57
//
58
//
59
//               1) Changed Directory Structure
60
//               2) Added restart signal (REST)
61
//
62 5 rudi
//               Revision 1.2  2001/06/05 10:22:37  rudi
63
//
64
//
65
//               - Added Support of up to 31 channels
66
//               - Added support for 2,4 and 8 priority levels
67
//               - Now can have up to 31 channels
68
//               - Added many configuration items
69
//               - Changed reset to async
70
//
71
//               Revision 1.1.1.1  2001/03/19 13:10:59  rudi
72
//               Initial Release
73
//
74
//
75
//
76
 
77
`include "wb_dma_defines.v"
78
 
79
module wb_dma_wb_slv(clk, rst,
80
 
81
        wb_data_i, wb_data_o, wb_addr_i, wb_sel_i, wb_we_i, wb_cyc_i,
82
        wb_stb_i, wb_ack_o, wb_err_o, wb_rty_o,
83
 
84
        // This is the register File Interface
85
        slv_adr, slv_din, slv_dout, slv_re, slv_we,
86
 
87
        // Pass through Interface
88
        pt_sel, slv_pt_out, slv_pt_in
89
 
90
        );
91
 
92 10 rudi
parameter       rf_addr = 0;
93
 
94 5 rudi
input           clk, rst;
95
 
96
// --------------------------------------
97
// WISHBONE INTERFACE 
98
 
99
input   [31:0]   wb_data_i;
100
output  [31:0]   wb_data_o;
101
input   [31:0]   wb_addr_i;
102
input   [3:0]    wb_sel_i;
103
input           wb_we_i;
104
input           wb_cyc_i;
105
input           wb_stb_i;
106
output          wb_ack_o;
107
output          wb_err_o;
108
output          wb_rty_o;
109
 
110
// This is the register File Interface
111
output  [31:0]   slv_adr;        // Slave Address
112
input   [31:0]   slv_din;        // Slave Input Data
113
output  [31:0]   slv_dout;       // Slave Output Data
114
output          slv_re;         // Slave Read Enable
115
output          slv_we;         // Slave Write Enable
116
 
117
// Pass through Interface
118
output          pt_sel;         // Pass Through Mode Active
119
output  [70:0]   slv_pt_out;     // Grouped WISHBONE out signals
120
input   [34:0]   slv_pt_in;      // Grouped WISHBONE in signals
121
 
122
////////////////////////////////////////////////////////////////////
123
//
124
// Local Wires
125
//
126
 
127
reg             slv_re, slv_we;
128
wire            rf_sel;
129
reg             rf_ack;
130
reg     [31:0]   slv_adr, slv_dout;
131
 
132
////////////////////////////////////////////////////////////////////
133
//
134
// Misc Logic
135
//
136
 
137 8 rudi
assign rf_sel = `WDMA_REG_SEL ;
138 5 rudi
 
139
////////////////////////////////////////////////////////////////////
140
//
141
// Pass Through Logic
142
//
143
 
144
//assign pt_sel = !rf_sel;
145
assign pt_sel = !rf_sel & wb_cyc_i;
146
 
147
assign slv_pt_out = {wb_data_i, wb_addr_i, wb_sel_i, wb_we_i, wb_cyc_i, wb_stb_i};
148
assign {wb_data_o, wb_ack_o, wb_err_o, wb_rty_o} = pt_sel ? slv_pt_in :
149
        {slv_din, rf_ack, 1'b0, 1'b0};
150
 
151
////////////////////////////////////////////////////////////////////
152
//
153
// Register File Logic
154
//
155
 
156
always @(posedge clk)
157
        slv_adr <= #1 wb_addr_i;
158
 
159
always @(posedge clk)
160
        slv_re <= #1 rf_sel & wb_cyc_i & wb_stb_i & !wb_we_i & !rf_ack & !slv_re;
161
 
162
always @(posedge clk)
163
        slv_we <= #1 rf_sel & wb_cyc_i & wb_stb_i &  wb_we_i & !rf_ack;
164
 
165
always @(posedge clk)
166
        slv_dout <= #1 wb_data_i;
167
 
168
always @(posedge clk)
169
        rf_ack <= #1 (slv_re | slv_we) & wb_cyc_i & wb_stb_i & !rf_ack ;
170
 
171
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.