OpenCores
URL https://opencores.org/ocsvn/wb_lcd/wb_lcd/trunk

Subversion Repositories wb_lcd

[/] [wb_lcd/] [trunk/] [myhdl/] [wb_lcd_workspace_ramless/] [workspace/] [lcd_display/] [src/] [tb_lcd.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jvillar
module tb_lcd;
2
 
3
reg clk;
4
reg reset;
5
reg [31:0] dat;
6
reg [6:0] addr;
7
reg we;
8
wire busy;
9
wire [3:0] SF_D;
10
wire LCD_E;
11
wire LCD_RS;
12
wire LCD_RW;
13
 
14
initial begin
15
    $from_myhdl(
16
        clk,
17
        reset,
18
        dat,
19
        addr,
20
        we
21
    );
22
    $to_myhdl(
23
        busy,
24
        SF_D,
25
        LCD_E,
26
        LCD_RS,
27
        LCD_RW
28
    );
29
end
30
 
31
lcd dut(
32
    clk,
33
    reset,
34
    dat,
35
    addr,
36
    we,
37
    busy,
38
    SF_D,
39
    LCD_E,
40
    LCD_RS,
41
    LCD_RW
42
);
43
 
44
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.