OpenCores
URL https://opencores.org/ocsvn/wb_lcd/wb_lcd/trunk

Subversion Repositories wb_lcd

[/] [wb_lcd/] [trunk/] [myhdl/] [wb_lcd_workspace_ramless/] [workspace/] [lcd_display/] [src/] [tb_wb_lcd.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jvillar
module tb_wb_lcd;
2
 
3
reg wb_clk_i;
4
reg wb_rst_i;
5
reg [31:0] wb_dat_i;
6
wire [31:0] wb_dat_o;
7
reg [31:0] wb_adr_i;
8
reg [3:0] wb_sel_i;
9
reg wb_we_i;
10
reg wb_cyc_i;
11
reg wb_stb_i;
12
wire wb_ack_o;
13
wire [3:0] SF_D;
14
wire LCD_E;
15
wire LCD_RS;
16
wire LCD_RW;
17
 
18
initial begin
19
    $from_myhdl(
20
        wb_clk_i,
21
        wb_rst_i,
22
        wb_dat_i,
23
        wb_adr_i,
24
        wb_sel_i,
25
        wb_we_i,
26
        wb_cyc_i,
27
        wb_stb_i
28
    );
29
    $to_myhdl(
30
        wb_dat_o,
31
        wb_ack_o,
32
        SF_D,
33
        LCD_E,
34
        LCD_RS,
35
        LCD_RW
36
    );
37
end
38
 
39
wb_lcd dut(
40
    wb_clk_i,
41
    wb_rst_i,
42
    wb_dat_i,
43
    wb_dat_o,
44
    wb_adr_i,
45
    wb_sel_i,
46
    wb_we_i,
47
    wb_cyc_i,
48
    wb_stb_i,
49
    wb_ack_o,
50
    SF_D,
51
    LCD_E,
52
    LCD_RS,
53
    LCD_RW
54
);
55
 
56
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.