OpenCores
URL https://opencores.org/ocsvn/wb_lpc/wb_lpc/trunk

Subversion Repositories wb_lpc

[/] [wb_lpc/] [trunk/] [rtl/] [verilog/] [wb_regfile.v] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 hharte
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3 6 hharte
////  $Id: wb_regfile.v,v 1.2 2008-03-05 05:50:59 hharte Exp $    ////
4 3 hharte
////  wb_regfile.v - Small Wishbone register file for testing     ////
5
////                                                              ////
6
////  This file is part of the Wishbone LPC Bridge project        ////
7
////  http://www.opencores.org/projects/wb_lpc/                   ////
8
////                                                              ////
9
////  Author:                                                     ////
10
////      - Howard M. Harte (hharte@opencores.org)                ////
11
////                                                              ////
12
//////////////////////////////////////////////////////////////////////
13
////                                                              ////
14
//// Copyright (C) 2008 Howard M. Harte                           ////
15
////                                                              ////
16
//// This source file may be used and distributed without         ////
17
//// restriction provided that this copyright statement is not    ////
18
//// removed from the file and that any derivative work contains  ////
19
//// the original copyright notice and the associated disclaimer. ////
20
////                                                              ////
21
//// This source file is free software; you can redistribute it   ////
22
//// and/or modify it under the terms of the GNU Lesser General   ////
23
//// Public License as published by the Free Software Foundation; ////
24
//// either version 2.1 of the License, or (at your option) any   ////
25
//// later version.                                               ////
26
////                                                              ////
27
//// This source is distributed in the hope that it will be       ////
28
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
29
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
30
//// PURPOSE.  See the GNU Lesser General Public License for more ////
31
//// details.                                                     ////
32
////                                                              ////
33
//// You should have received a copy of the GNU Lesser General    ////
34
//// Public License along with this source; if not, download it   ////
35
//// from http://www.opencores.org/lgpl.shtml                     ////
36
////                                                              ////
37
//////////////////////////////////////////////////////////////////////
38
 
39
module wb_regfile (clk_i, nrst_i, wb_adr_i, wb_dat_o, wb_dat_i, wb_sel_i, wb_we_i,
40 6 hharte
                   wb_stb_i, wb_cyc_i, wb_ack_o, datareg0, datareg1);
41 3 hharte
 
42 6 hharte
    input          clk_i;
43
    input          nrst_i;
44
    input    [2:0] wb_adr_i;
45
    output reg [31:0] wb_dat_o;
46
    input   [31:0] wb_dat_i;
47
    input    [3:0] wb_sel_i;
48
    input          wb_we_i;
49
    input          wb_stb_i;
50
    input          wb_cyc_i;
51
    output reg     wb_ack_o;
52
    output  [31:0] datareg0;
53
    output  [31:0] datareg1;
54 3 hharte
 
55 6 hharte
    //
56
    // generate wishbone register bank writes
57
    wire wb_acc = wb_cyc_i & wb_stb_i;    // WISHBONE access
58
    wire wb_wr  = wb_acc & wb_we_i;       // WISHBONE write access
59 3 hharte
 
60 6 hharte
    reg [7:0]   datareg0_0;
61
    reg [7:0]   datareg0_1;
62
    reg [7:0]   datareg0_2;
63
    reg [7:0]   datareg0_3;
64 3 hharte
 
65 6 hharte
    reg [7:0]   datareg1_0;
66
    reg [7:0]   datareg1_1;
67
    reg [7:0]   datareg1_2;
68
    reg [7:0]   datareg1_3;
69 3 hharte
 
70 6 hharte
    always @(posedge clk_i or negedge nrst_i)
71
        if (~nrst_i)                // reset registers
72
            begin
73
                datareg0_0 <= 8'h00;
74
                datareg0_1 <= 8'h01;
75
                datareg0_2 <= 8'h02;
76
                datareg0_3 <= 8'h03;
77
                datareg1_0 <= 8'h10;
78
                datareg1_1 <= 8'h11;
79
                datareg1_2 <= 8'h12;
80
                datareg1_3 <= 8'h13;
81
            end
82
        else if(wb_wr)          // wishbone write cycle
83
            case (wb_sel_i)
84
                4'b0000:
85
                    case (wb_adr_i)         // synopsys full_case parallel_case
86
                        3'b000: datareg0_0 <= wb_dat_i[7:0];
87
                        3'b001: datareg0_1 <= wb_dat_i[7:0];
88
                        3'b010: datareg0_2 <= wb_dat_i[7:0];
89
                        3'b011: datareg0_3 <= wb_dat_i[7:0];
90
                        3'b100: datareg1_0 <= wb_dat_i[7:0];
91
                        3'b101: datareg1_1 <= wb_dat_i[7:0];
92
                        3'b110: datareg1_2 <= wb_dat_i[7:0];
93
                        3'b111: datareg1_3 <= wb_dat_i[7:0];
94
                    endcase
95
                4'b0001:
96
                    case (wb_adr_i)         // synopsys full_case parallel_case
97
                        3'b000: datareg0_0 <= wb_dat_i[7:0];
98
                        3'b001: datareg0_1 <= wb_dat_i[7:0];
99
                        3'b010: datareg0_2 <= wb_dat_i[7:0];
100
                        3'b011: datareg0_3 <= wb_dat_i[7:0];
101
                        3'b100: datareg1_0 <= wb_dat_i[7:0];
102
                        3'b101: datareg1_1 <= wb_dat_i[7:0];
103
                        3'b110: datareg1_2 <= wb_dat_i[7:0];
104
                        3'b111: datareg1_3 <= wb_dat_i[7:0];
105
                    endcase
106
                4'b0011:
107
                    {datareg0_1, datareg0_0} <= wb_dat_i[15:0];
108
//                  case (wb_adr_i)         // synopsys full_case parallel_case
109
//                      3'b000: {datareg0_1, datareg0_0} <= wb_dat_i[15:0];
110
//                  endcase
111
                4'b1111:
112
                    {datareg0_3, datareg0_2, datareg0_1, datareg0_0} <= wb_dat_i[31:0];
113
//                  case (wb_adr_i)         // synopsys full_case parallel_case
114
//                      3'b000: {datareg0_3, datareg0_2, datareg0_1, datareg0_0} <= wb_dat_i[31:0];
115
//                  endcase
116 3 hharte
 
117 6 hharte
            endcase
118
    // generate dat_o
119
    always @(posedge clk_i)
120
        case (wb_sel_i)
121
            4'b0000:
122
                case (wb_adr_i)     // synopsys full_case parallel_case
123
                    3'b000: wb_dat_o[7:0] <= datareg0_0;
124
                    3'b001: wb_dat_o[7:0] <= datareg0_1;
125
                    3'b010: wb_dat_o[7:0] <= datareg0_2;
126
                    3'b011: wb_dat_o[7:0] <= datareg0_3;
127
                    3'b100: wb_dat_o[7:0] <= datareg1_0;
128
                    3'b101: wb_dat_o[7:0] <= datareg1_1;
129
                    3'b110: wb_dat_o[7:0] <= datareg1_2;
130
                    3'b111: wb_dat_o[7:0] <= datareg1_3;
131
                endcase
132
            4'b0001:
133
                case (wb_adr_i)     // synopsys full_case parallel_case
134
                    3'b000: wb_dat_o[7:0] <= datareg0_0;
135
                    3'b001: wb_dat_o[7:0] <= datareg0_1;
136
                    3'b010: wb_dat_o[7:0] <= datareg0_2;
137
                    3'b011: wb_dat_o[7:0] <= datareg0_3;
138
                    3'b100: wb_dat_o[7:0] <= datareg1_0;
139
                    3'b101: wb_dat_o[7:0] <= datareg1_1;
140
                    3'b110: wb_dat_o[7:0] <= datareg1_2;
141
                    3'b111: wb_dat_o[7:0] <= datareg1_3;
142
                endcase
143
            4'b0011:
144
                    wb_dat_o[15:0] <= {datareg0_1, datareg0_0};
145
            4'b1111:
146
                    wb_dat_o[31:0] <= {datareg0_3, datareg0_2, datareg0_1, datareg0_0};
147
        endcase
148
 
149 3 hharte
   // generate ack_o
150 6 hharte
    always @(posedge clk_i)
151
        wb_ack_o <= #1 wb_acc & !wb_ack_o;
152 3 hharte
 
153 6 hharte
    assign datareg0 = { datareg0_3, datareg0_2, datareg0_1, datareg0_0 };
154
    assign datareg1 = { datareg1_3, datareg1_2, datareg1_1, datareg1_0 };
155 3 hharte
 
156
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.