OpenCores
URL https://opencores.org/ocsvn/wb_size_bridge/wb_size_bridge/trunk

Subversion Repositories wb_size_bridge

[/] [wb_size_bridge/] [trunk/] [src/] [async_mem_if.v] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 qaztronic
//
2
//
3
//
4
 
5
 
6
module async_mem_if(  async_dq, async_addr, async_ub_n, async_lb_n,
7
                      async_we_n, async_ce_n, async_oe_n,
8
                      wb_clk_i, wb_rst_i, wb_adr_i, wb_dat_i,
9
                      wb_we_i, wb_stb_i, wb_cyc_i, wb_sel_i,
10
                      wb_dat_o, wb_ack_o,
11
                      ce_setup, op_hold, ce_hold,
12
                      big_endian_if_i, lo_byte_if_i
13
                  );
14
 
15
  parameter AW = 32;
16
  parameter DW = 8;
17
 
18
  inout   [(DW-1):0]  async_dq;
19
  output  [(AW-1):0]  async_addr;
20
  output              async_ub_n;
21
  output              async_lb_n;
22
  output              async_we_n;
23
  output              async_ce_n;
24
  output              async_oe_n;
25
  input           wb_clk_i;
26
  input           wb_rst_i;
27
  input   [31:0]  wb_adr_i;
28
  input   [31:0]  wb_dat_i;
29
  input           wb_we_i;
30
  input           wb_stb_i;
31
  input           wb_cyc_i;
32
  input   [3:0]   wb_sel_i;
33
  output  [31:0]  wb_dat_o;
34
  output          wb_ack_o;
35
  input [3:0]     ce_setup;
36
  input [3:0]     op_hold;  // do not set to zero.
37
  input [3:0]     ce_hold;
38
  input           big_endian_if_i;
39
  input           lo_byte_if_i;
40
 
41
 
42
  //---------------------------------------------------
43
  // big endian bridge
44
 
45
  wire [31:0] beb_wb_dat_i;
46
  assign beb_wb_dat_i[7:0]    = big_endian_if_i ? wb_dat_i[31:24]  : wb_dat_i[7:0];
47
  assign beb_wb_dat_i[15:8]   = big_endian_if_i ? wb_dat_i[23:16]  : wb_dat_i[15:8];
48
  assign beb_wb_dat_i[23:16]  = big_endian_if_i ? wb_dat_i[15:8]   : wb_dat_i[23:16];
49
  assign beb_wb_dat_i[31:24]  = big_endian_if_i ? wb_dat_i[7:0]    : wb_dat_i[31:24];
50
 
51
  wire [31:0] beb_wb_dat_o;
52
  assign wb_dat_o[7:0]    = big_endian_if_i ? beb_wb_dat_o[31:24]  : beb_wb_dat_o[7:0];
53
  assign wb_dat_o[15:8]   = big_endian_if_i ? beb_wb_dat_o[23:16]  : beb_wb_dat_o[15:8];
54
  assign wb_dat_o[23:16]  = big_endian_if_i ? beb_wb_dat_o[15:8]   : beb_wb_dat_o[23:16];
55
  assign wb_dat_o[31:24]  = big_endian_if_i ? beb_wb_dat_o[7:0]    : beb_wb_dat_o[31:24];
56
 
57
  wire [3:0] beb_wb_sel_i;
58
  assign beb_wb_sel_i[0] = big_endian_if_i ? wb_sel_i[3] : wb_sel_i[0];
59
  assign beb_wb_sel_i[1] = big_endian_if_i ? wb_sel_i[2] : wb_sel_i[1];
60
  assign beb_wb_sel_i[2] = big_endian_if_i ? wb_sel_i[1] : wb_sel_i[2];
61
  assign beb_wb_sel_i[3] = big_endian_if_i ? wb_sel_i[0] : wb_sel_i[3];
62
 
63
 
64
  //---------------------------------------------------
65
  // wb_size_bridge
66
  wire [15:0] wb_lo_dat_o;
67
  wire [15:0] wb_lo_dat_i;
68
  wire [31:0] wb_lo_adr_o;
69
  wire        wb_lo_cyc_o;
70
  wire        wb_lo_stb_o;
71
  wire        wb_lo_we_o;
72
  wire [1:0]  wb_lo_sel_o;
73
  wire        wb_lo_ack_i;
74
  wire        wb_lo_err_i = 1'b0;
75
  wire        wb_lo_rty_i = 1'b0;
76
 
77
 
78
  wb_size_bridge i_wb_size_bridge(
79
                                    .wb_hi_clk_i(wb_clk_i),
80
                                    .wb_hi_rst_i(wb_rst_i),
81
                                    .wb_hi_dat_o(beb_wb_dat_o),
82
                                    .wb_hi_dat_i(beb_wb_dat_i),
83
                                    .wb_hi_adr_i( wb_adr_i ),
84
                                    .wb_hi_cyc_i(wb_cyc_i),
85
                                    .wb_hi_stb_i(wb_stb_i),
86
                                    .wb_hi_we_i(wb_we_i),
87
                                    .wb_hi_sel_i(beb_wb_sel_i),
88
                                    .wb_hi_ack_o(wb_ack_o),
89
                                    .wb_hi_err_o(),
90
                                    .wb_hi_rty_o(),
91
 
92
                                    .wb_lo_clk_o(),
93
                                    .wb_lo_rst_o(),
94
                                    .wb_lo_dat_i(wb_lo_dat_i),
95
                                    .wb_lo_dat_o(wb_lo_dat_o),
96
                                    .wb_lo_adr_o(wb_lo_adr_o),
97
                                    .wb_lo_cyc_o(wb_lo_cyc_o),
98
                                    .wb_lo_stb_o(wb_lo_stb_o),
99
                                    .wb_lo_we_o(wb_lo_we_o),
100
                                    .wb_lo_sel_o(wb_lo_sel_o),
101
                                    .wb_lo_ack_i(wb_lo_ack_i),
102
                                    .wb_lo_err_i(wb_lo_err_i),
103
                                    .wb_lo_rty_i(wb_lo_rty_i),
104
 
105
                                    .lo_byte_if_i(lo_byte_if_i)
106
                                  );
107
 
108
 
109
  // --------------------------------------------------------------------
110
  //  state machine inputs
111
 
112
  wire zero_ce_setup  = (ce_setup == 4'h0);
113
  wire zero_ce_hold   = (ce_hold  == 4'h0);
114
  wire wait_for_counter;
115
 
116
 
117
  // --------------------------------------------------------------------
118
  //  state machine
119
 
120
  localparam   STATE_DONT_CARE  = 4'b????;
121
  localparam   STATE_IDLE       = 4'b0001;
122
  localparam   STATE_CE_SETUP   = 4'b0010;
123
  localparam   STATE_OP_HOLD    = 4'b0100;
124
  localparam   STATE_CE_HOLD    = 4'b1000;
125
 
126
  reg [3:0] state;
127
  reg [3:0] next_state;
128
 
129
  always @(posedge wb_clk_i or posedge wb_rst_i)
130
    if(wb_rst_i)
131
      state <= STATE_IDLE;
132
    else
133
      state <= next_state;
134
 
135
  always @(*)
136
    case( state )
137
      STATE_IDLE:     if( wb_stb_i & wb_cyc_i )
138
                        if( zero_ce_setup )
139
                          next_state = STATE_OP_HOLD;
140
                        else
141
                          next_state = STATE_CE_SETUP;
142
                      else
143
                        next_state = STATE_IDLE;
144
 
145
      STATE_CE_SETUP: if( wait_for_counter )
146
                        next_state = STATE_CE_SETUP;
147
                      else
148
                        next_state = STATE_OP_HOLD;
149
 
150
      STATE_OP_HOLD:  if( wait_for_counter )
151
                        next_state = STATE_OP_HOLD;
152
                      else
153
                        if( zero_ce_hold )
154
                          next_state = STATE_IDLE;
155
                        else
156
                          next_state = STATE_CE_HOLD;
157
 
158
      STATE_CE_HOLD:  if( wait_for_counter )
159
                        next_state = STATE_CE_HOLD;
160
                      else
161
                        next_state = STATE_IDLE;
162
 
163
      default:        next_state = STATE_IDLE;
164
    endcase
165
 
166
 
167
  // --------------------------------------------------------------------
168
  //  state machine outputs
169
 
170
  wire assert_ce = (state != STATE_IDLE);
171
//   wire assert_op = (state == STATE_OP_HOLD) | (state == STATE_CE_HOLD);
172
  wire assert_op = (state == STATE_OP_HOLD);
173
 
174
  assign wb_lo_ack_i =  ( (state == STATE_OP_HOLD) & ~wait_for_counter & zero_ce_hold) |
175
                        ( (state == STATE_CE_HOLD) & ~wait_for_counter );
176
 
177
 
178
  //---------------------------------------------------
179
  // async_dq_buffer
180
  reg [(DW-1):0] async_dq_buffer;
181
  wire async_dq_buffer_en  = (state == STATE_OP_HOLD);
182
 
183
  always @(posedge wb_clk_i)
184
    if(async_dq_buffer_en)
185
      async_dq_buffer <= async_dq;
186
    else
187
      async_dq_buffer <= async_dq_buffer;
188
 
189
 
190
  //---------------------------------------------------
191
  // bypass_mux
192
 
193
  wire  bypass_mux_en = (state == STATE_OP_HOLD) & zero_ce_hold;
194
  wire [(DW-1):0] bypass_mux;
195
 
196
  assign bypass_mux = bypass_mux_en ? async_dq : async_dq_buffer;
197
 
198
 
199
  // --------------------------------------------------------------------
200
  //  wait counter mux
201
  reg  [3:0] counter_mux;
202
 
203
  always @(*)
204
    case( next_state )
205
      STATE_CE_SETUP: counter_mux = ce_setup;
206
      STATE_OP_HOLD:  counter_mux = op_hold;
207
      STATE_CE_HOLD:  counter_mux = ce_hold;
208
      default:        counter_mux = 4'bxxxx;
209
    endcase
210
 
211
 
212
  // --------------------------------------------------------------------
213
  //  wait counter
214
  reg   [3:0] counter;
215
  wire        counter_load = ~(state == next_state);
216
 
217
  always @(posedge wb_clk_i)
218
    if( counter_load )
219
      counter <= counter_mux - 1'b1;
220
    else
221
      counter <= counter - 1'b1;
222
 
223
  assign wait_for_counter = (counter != 4'h0);
224
 
225
 
226
  //---------------------------------------------------
227
  // outputs
228
 
229
  generate
230
    if( DW == 16 )
231
      begin
232
        assign async_dq    = wb_lo_we_o ? wb_lo_dat_o : 16'hzz;
233
        assign async_addr  = wb_lo_adr_o[AW:1];
234
        assign wb_lo_dat_i = bypass_mux;
235
      end
236
    else
237
      begin
238
        assign async_dq    = wb_lo_we_o ? wb_lo_dat_o : 8'hz;
239
        assign async_addr  = wb_lo_adr_o[(AW-1):0];
240
        assign wb_lo_dat_i = {8'h00, bypass_mux};
241
      end
242
  endgenerate
243
 
244
  assign async_ub_n  = ~wb_lo_sel_o[1];
245
  assign async_lb_n  = ~wb_lo_sel_o[0];
246
  assign async_we_n  = ~( wb_lo_we_o & assert_op );
247
  assign async_ce_n  = ~( wb_stb_i & wb_cyc_i & assert_ce );
248
  assign async_oe_n  = ~( ~wb_lo_we_o & assert_op );
249
 
250
 
251
endmodule
252
 
253
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.