OpenCores
URL https://opencores.org/ocsvn/wb_size_bridge/wb_size_bridge/trunk

Subversion Repositories wb_size_bridge

[/] [wb_size_bridge/] [trunk/] [tb/] [test/] [debug/] [debug.mpf] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 qaztronic
[Library]
2
 
3
; Altera specific primitive library mappings
4
 
5
vital2000 = $MODEL_TECH/../vital2000
6
ieee = $MODEL_TECH/../ieee
7
verilog = $MODEL_TECH/../verilog
8
std = $MODEL_TECH/../std
9
std_developerskit = $MODEL_TECH/../std_developerskit
10
synopsys = $MODEL_TECH/../synopsys
11
modelsim_lib = $MODEL_TECH/../modelsim_lib
12
apex20k = $MODEL_TECH/../altera/vhdl/apex20k
13
apex20ke = $MODEL_TECH/../altera/vhdl/apex20ke
14
apexii = $MODEL_TECH/../altera/vhdl/apexii
15
altera_mf = $MODEL_TECH/../altera/vhdl/altera_mf
16
altera = $MODEL_TECH/../altera/vhdl/altera
17
lpm = $MODEL_TECH/../altera/vhdl/220model
18
220model = $MODEL_TECH/../altera/vhdl/220model
19
alt_vtl = $MODEL_TECH/../altera/vhdl/alt_vtl
20
flex6000 = $MODEL_TECH/../altera/vhdl/flex6000
21
flex10ke = $MODEL_TECH/../altera/vhdl/flex10ke
22
max = $MODEL_TECH/../altera/vhdl/max
23
maxii = $MODEL_TECH/../altera/vhdl/maxii
24
stratix = $MODEL_TECH/../altera/vhdl/stratix
25
stratixii = $MODEL_TECH/../altera/vhdl/stratixii
26
stratixiigx = $MODEL_TECH/../altera/vhdl/stratixiigx
27
cyclone = $MODEL_TECH/../altera/vhdl/cyclone
28
cycloneii = $MODEL_TECH/../altera/vhdl/cycloneii
29
cycloneiii = $MODEL_TECH/../altera/vhdl/cycloneiii
30
sgate = $MODEL_TECH/../altera/vhdl/sgate
31
stratixiigx_hssi = $MODEL_TECH/../altera/vhdl/stratixiigx_hssi
32
arriagx_hssi = $MODEL_TECH/../altera/vhdl/arriagx_hssi
33
arriagx = $MODEL_TECH/../altera/vhdl/arriagx
34
stratixiv = $MODEL_TECH/../altera/vhdl/stratixiv
35
stratixiv_hssi = $MODEL_TECH/../altera/vhdl/stratixiv_hssi
36
stratixiv_pcie_hip = $MODEL_TECH/../altera/vhdl/stratixiv_pcie_hip
37
apex20k_ver = $MODEL_TECH/../altera/verilog/apex20k
38
apex20ke_ver = $MODEL_TECH/../altera/verilog/apex20ke
39
apexii_ver = $MODEL_TECH/../altera/verilog/apexii
40
altera_mf_ver = $MODEL_TECH/../altera/verilog/altera_mf
41
altera_ver = $MODEL_TECH/../altera/verilog/altera
42
lpm_ver = $MODEL_TECH/../altera/verilog/220model
43
220model_ver = $MODEL_TECH/../altera/verilog/220model
44
alt_ver = $MODEL_TECH/../altera/verilog/alt_vtl
45
flex6000_ver = $MODEL_TECH/../altera/verilog/flex6000
46
flex10ke_ver = $MODEL_TECH/../altera/verilog/flex10ke
47
max_ver = $MODEL_TECH/../altera/verilog/max
48
maxii_ver = $MODEL_TECH/../altera/verilog/maxii
49
stratix_ver = $MODEL_TECH/../altera/verilog/stratix
50
stratixii_ver = $MODEL_TECH/../altera/verilog/stratixii
51
stratixiigx_ver = $MODEL_TECH/../altera/verilog/stratixiigx
52
arriagx_ver = $MODEL_TECH/../altera/verilog/arriagx
53
cyclone_ver = $MODEL_TECH/../altera/verilog/cyclone
54
cycloneii_ver = $MODEL_TECH/../altera/verilog/cycloneii
55
cycloneiii_ver = $MODEL_TECH/../altera/verilog/cycloneiii
56
sgate_ver = $MODEL_TECH/../altera/verilog/sgate
57
stratixiigx_hssi_ver = $MODEL_TECH/../altera/verilog/stratixiigx_hssi
58
arriagx_hssi_ver = $MODEL_TECH/../altera/verilog/arriagx_hssi
59
stratixiii_ver = $MODEL_TECH/../altera/verilog/stratixiii
60
stratixiii = $MODEL_TECH/../altera/vhdl/stratixiii
61
stratixiv_ver = $MODEL_TECH/../altera/verilog/stratixiv
62
stratixiv_hssi_ver = $MODEL_TECH/../altera/verilog/stratixiv_hssi
63
stratixiv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/stratixiv_pcie_hip
64
 
65
work = work
66
[vcom]
67
; Turn on VHDL-1993 as the default. Normally is off.
68
; VHDL93 = 1
69
 
70
; Show source line containing error. Default is off.
71
; Show_source = 1
72
 
73
; Turn off unbound-component warnings. Default is on.
74
; Show_Warning1 = 0
75
 
76
; Turn off process-without-a-wait-statement warnings. Default is on.
77
; Show_Warning2 = 0
78
 
79
; Turn off null-range warnings. Default is on.
80
; Show_Warning3 = 0
81
 
82
; Turn off no-space-in-time-literal warnings. Default is on.
83
; Show_Warning4 = 0
84
 
85
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
86
; Show_Warning5 = 0
87
 
88
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
89
; Optimize_1164 = 0
90
 
91
; Turn on resolving of ambiguous function overloading in favor of the
92
; "explicit" function declaration (not the one automatically created by
93
; the compiler for each type declaration). Default is off.
94
; .ini file has Explict enable so that std_logic_signed/unsigned
95
; will match synthesis tools behavior.
96
 Explicit = 1
97
 
98
; Turn off VITAL compliance checking. Default is checking on.
99
; NoVitalCheck = 1
100
 
101
; Ignore VITAL compliance checking errors. Default is to not ignore.
102
; IgnoreVitalErrors = 1
103
 
104
; Turn off VITAL compliance checking warnings. Default is to show warnings.
105
; Show_VitalChecksWarnings = false
106
 
107
; Turn off acceleration of the VITAL packages. Default is to accelerate.
108
; NoVital = 1
109
 
110
; Turn off inclusion of debugging info within design units. Default is to include.
111
; NoDebug = 1
112
 
113
; Turn off "loading..." messages. Default is messages on.
114
; Quiet = 1
115
 
116
; Turn on some limited synthesis rule compliance checking. Checks only:
117
;       -- signals used (read) by a process must be in the sensitivity list
118
; CheckSynthesis = 1
119
 
120
; Require the user to specify a configuration for all bindings,
121
; and do not generate a compile time default binding for the
122
; component. This will result in an elaboration error of
123
; 'component not bound' if the user fails to do so. Avoids the rare
124
; issue of a false dependency upon the unused default binding.
125
 
126
; RequireConfigForAllDefaultBinding = 1
127
 
128
[vlog]
129
 
130
; Turn off inclusion of debugging info within design units. Default is to include.
131
; NoDebug = 1
132
 
133
; Turn off "loading..." messages. Default is messages on.
134
; Quiet = 1
135
 
136
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
137
; Default is off.
138
; Hazard = 1
139
 
140
; Turn on converting regular Verilog identifiers to uppercase. Allows case
141
; insensitivity for module names. Default is no conversion.
142
; UpCase = 1
143
 
144
; Turns on incremental compilation of modules
145
; Incremental = 1
146
 
147
[vsim]
148
; Simulator resolution
149
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
150
resolution = 10ps
151
 
152
; User time unit for run commands
153
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
154
; unit specified for Resolution. For example, if Resolution is 100ps,
155
; then UserTimeUnit defaults to ps.
156
UserTimeUnit = default
157
 
158
; Default run length
159
RunLength = 100 ps
160
 
161
; Maximum iterations that can be run without advancing simulation time
162
IterationLimit = 5000
163
 
164
; Directive to license manager:
165
; vhdl          Immediately reserve a VHDL license
166
; vlog          Immediately reserve a Verilog license
167
; plus          Immediately reserve a VHDL and Verilog license
168
; nomgc         Do not look for Mentor Graphics Licenses
169
; nomti         Do not look for Model Technology Licenses
170
; noqueue       Do not wait in the license queue when a license isn't available
171
; License = plus
172
 
173
; Stop the simulator after an assertion message
174
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
175
BreakOnAssertion = 3
176
 
177
; Assertion Message Format
178
; %S - Severity Level
179
; %R - Report Message
180
; %T - Time of assertion
181
; %D - Delta
182
; %I - Instance or Region pathname (if available)
183
; %% - print '%' character
184
; AssertionFormat = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
185
 
186
; Assertion File - alternate file for storing assertion messages
187
; AssertFile = assert.log
188
 
189
; Default radix for all windows and commands...
190
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
191
DefaultRadix = symbolic
192
 
193
; VSIM Startup command
194
; Startup = do startup.do
195
 
196
; File for saving command transcript
197
TranscriptFile = transcript
198
 
199
; File for saving command history
200
;CommandHistory = cmdhist.log
201
 
202
; Specify whether paths in simulator commands should be described
203
; in VHDL or Verilog format. For VHDL, PathSeparator = /
204
; for Verilog, PathSeparator = .
205
PathSeparator = /
206
 
207
; Specify the dataset separator for fully rooted contexts.
208
; The default is ':'. For example, sim:/top
209
; Must not be the same character as PathSeparator.
210
DatasetSeparator = :
211
 
212
; Disable assertion messages
213
; IgnoreNote = 1
214
; IgnoreWarning = 1
215
; IgnoreError = 1
216
; IgnoreFailure = 1
217
 
218
; Default force kind. May be freeze, drive, or deposit
219
; or in other terms, fixed, wired or charged.
220
; DefaultForceKind = freeze
221
 
222
; If zero, open files when elaborated
223
; else open files on first read or write
224
; DelayFileOpen = 0
225
 
226
; Control VHDL files opened for write
227
;   0 = Buffered, 1 = Unbuffered
228
UnbufferedOutput = 0
229
 
230
; Control number of VHDL files open concurrently
231
;   This number should always be less then the
232
;   current ulimit setting for max file descriptors
233
;   0 = unlimited
234
ConcurrentFileLimit = 40
235
 
236
; This controls the number of hierarchical regions displayed as
237
; part of a signal name shown in the waveform window.  The default
238
; value or a value of zero tells VSIM to display the full name.
239
; WaveSignalNameWidth = 0
240
 
241
; Turn off warnings from the std_logic_arith, std_logic_unsigned
242
; and std_logic_signed packages.
243
; StdArithNoWarnings = 1
244
 
245
; Turn off warnings from the IEEE numeric_std and numeric_bit
246
; packages.
247
; NumericStdNoWarnings = 1
248
 
249
; Control the format of a generate statement label. Don't quote it.
250
; GenerateFormat = %s__%d
251
 
252
; Specify whether checkpoint files should be compressed.
253
; The default is to be compressed.
254
; CheckpointCompressMode = 0
255
 
256
; List of dynamically loaded objects for Verilog PLI applications
257
; Veriuser = veriuser.sl
258
[Project]
259
Project_Version = 6
260
Project_DefaultLib = work
261
Project_SortMethod = unused
262
Project_Files_Count = 5
263
Project_File_0 = C:/qaz/work/qaz/proj/de1_board/wb_size_bridge/tb/models/wb_master_model.v
264
Project_File_P_0 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_cond 0 vlog_nodebug 0 vlog_1995compat 0 folder {Top Level} last_compile 1216923358 cover_branch 0 vlog_noload 0 vlog_enable0In 0 vlog_disableopt 0 vlog_vopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 vlog_0InOptions {} vlog_options {} compile_to work vlog_upper 0 compile_order 2 dont_compile 0 cover_expr 0 cover_stmt 0
265
Project_File_1 = C:/qaz/work/qaz/proj/de1_board/wb_size_bridge/tb/test/debug/tb_dut.v
266
Project_File_P_1 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_cond 0 vlog_nodebug 0 vlog_1995compat 0 folder {Top Level} last_compile 1217279699 cover_branch 0 vlog_noload 0 vlog_enable0In 0 vlog_disableopt 0 vlog_vopt 0 vlog_showsource 0 vlog_hazard 0 vlog_0InOptions {} ood 0 vlog_upper 0 compile_to work vlog_options {} compile_order 0 cover_expr 0 dont_compile 0 cover_stmt 0
267
Project_File_2 = C:/qaz/work/qaz/proj/de1_board/wb_size_bridge/src/wb_size_bridge.v
268
Project_File_P_2 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_cond 0 vlog_nodebug 0 vlog_1995compat 0 folder {Top Level} last_compile 1217287216 cover_branch 0 vlog_noload 0 vlog_enable0In 0 vlog_disableopt 0 vlog_vopt 0 vlog_showsource 0 vlog_hazard 0 vlog_0InOptions {} ood 0 vlog_upper 0 compile_to work vlog_options {} compile_order 4 cover_expr 0 dont_compile 0 cover_stmt 0
269
Project_File_3 = C:/qaz/work/qaz/proj/de1_board/wb_size_bridge/tb/test/debug/tb_top.v
270
Project_File_P_3 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_cond 0 vlog_nodebug 0 vlog_1995compat 0 folder {Top Level} last_compile 1217284836 cover_branch 0 vlog_noload 0 vlog_enable0In 0 vlog_disableopt 0 vlog_vopt 0 vlog_showsource 0 vlog_hazard 0 vlog_0InOptions {} ood 0 vlog_upper 0 compile_to work vlog_options {} compile_order 1 cover_expr 0 dont_compile 0 cover_stmt 0
271
Project_File_4 = C:/qaz/work/qaz/proj/de1_board/wb_size_bridge/tb/models/wb_slave_model.v
272
Project_File_P_4 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_cond 0 vlog_nodebug 0 vlog_1995compat 0 folder {Top Level} last_compile 1217285469 cover_branch 0 vlog_noload 0 vlog_enable0In 0 vlog_disableopt 0 vlog_vopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 vlog_0InOptions {} vlog_options {} compile_to work vlog_upper 0 compile_order 3 dont_compile 0 cover_expr 0 cover_stmt 0
273
Project_Sim_Count = 0
274
Project_Folder_Count = 0
275
Echo_Compile_Output = 0
276
Save_Compile_Report = 1
277
Project_Opt_Count = 0
278
ForceSoftPaths = 0
279
ReOpenSourceFiles = 1
280
VERILOG_DoubleClick = Edit
281
VERILOG_CustomDoubleClick =
282
VHDL_DoubleClick = Edit
283
VHDL_CustomDoubleClick =
284
PSL_DoubleClick = Edit
285
PSL_CustomDoubleClick =
286
TEXT_DoubleClick = Edit
287
TEXT_CustomDoubleClick =
288
SYSTEMC_DoubleClick = Edit
289
SYSTEMC_CustomDoubleClick =
290
TCL_DoubleClick = Edit
291
TCL_CustomDoubleClick =
292
MACRO_DoubleClick = Edit
293
MACRO_CustomDoubleClick =
294
VCD_DoubleClick = Edit
295
VCD_CustomDoubleClick =
296
SDF_DoubleClick = Edit
297
SDF_CustomDoubleClick =
298
XML_DoubleClick = Edit
299
XML_CustomDoubleClick =
300
LOGFILE_DoubleClick = Edit
301
LOGFILE_CustomDoubleClick =
302
EditorState =
303
Project_Major_Version = 6
304
Project_Minor_Version = 1

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.