OpenCores
URL https://opencores.org/ocsvn/wb_vga/wb_vga/trunk

Subversion Repositories wb_vga

[/] [wb_vga/] [trunk/] [TestBench/] [vga_core_v2_TB.vhd] - Blame information for rev 9

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 tantos
library ieee,exemplar;
2
use ieee.std_logic_1164.all;
3
use exemplar.exemplar_1164.all;
4
use ieee.std_logic_unsigned.all;
5
library synopsys;
6
use synopsys.std_logic_arith.all;
7
 
8
library wb_tk;
9
use wb_tk.wb_test.all;
10
 
11
library wb_vga;
12
use wb_vga.all;
13
use wb_vga.constants.all;
14
 
15
entity vga_core_v2_tb is
16
        generic (
17
                v_dat_width: positive := 16;
18
                v_adr_width : positive := 12;
19
                cpu_dat_width: positive := 8;
20
                cpu_adr_width: positive := 12;
21
--              cpu_dat_width: positive := 16;
22
--              cpu_adr_width: positive := 11;
23
                fifo_size: positive := 256;
24
                accel_size: positive := 9;
25
                v_pal_size: positive := 8;
26
                v_pal_width: positive := 16
27
        );
28
end vga_core_v2_tb;
29
 
30
architecture TB of vga_core_v2_tb is
31
        -- Component declaration of the tested unit
32
    component vga_core_v2
33
        generic (
34
                v_dat_width: positive    :=  v_dat_width;
35
                v_adr_width : positive   :=  v_adr_width;
36
                cpu_dat_width: positive  :=  cpu_dat_width;
37
                cpu_adr_width: positive  :=  cpu_adr_width;
38
                fifo_size: positive      :=  fifo_size;
39
                accel_size: positive     :=  accel_size;
40
                v_pal_size: positive     :=  v_pal_size;
41
                v_pal_width: positive    :=  v_pal_width
42
        );
43
        port (
44
                clk_i: in std_logic;
45
                clk_en: in std_logic := '1';
46
                rst_i: in std_logic := '0';
47
 
48
                -- CPU bus interface
49
                dat_i: in std_logic_vector (cpu_dat_width-1 downto 0);
50
                dat_oi: in std_logic_vector (cpu_dat_width-1 downto 0);
51
                dat_o: out std_logic_vector (cpu_dat_width-1 downto 0);
52
                cyc_i: in std_logic;
53
                ack_o: out std_logic;
54
                ack_oi: in std_logic;
55
                err_o: out std_logic;
56
                err_oi: in std_logic;
57
                we_i: in std_logic;
58
                accel_stb_i: in std_logic;
59
                pal_stb_i: in std_logic;
60
                reg_stb_i: in std_logic;
61
                adr_i: in std_logic_vector (cpu_adr_width-1 downto 0);
62
            sel_i: in std_logic_vector ((cpu_dat_width/8)-1 downto 0) := (others => '1');
63
 
64
                -- video memory interface
65
                v_adr_o: out std_logic_vector (v_adr_width-1 downto 0);
66
                v_sel_o: out std_logic_vector ((v_dat_width/8)-1 downto 0);
67
                v_dat_i: in std_logic_vector (v_dat_width-1 downto 0);
68
                v_dat_o: out std_logic_vector (v_dat_width-1 downto 0);
69
                v_cyc_o: out std_logic;
70
                v_ack_i: in std_logic;
71
                v_we_o: out std_logic;
72
                v_stb_o: out std_logic;
73
 
74
                -- sync blank and video signal outputs
75
                h_sync: out std_logic;
76
                h_blank: out std_logic;
77
                v_sync: out std_logic;
78
                v_blank: out std_logic;
79
                h_tc: out std_logic;
80
                v_tc: out std_logic;
81
                blank: out std_logic;
82
                video_out: out std_logic_vector (v_pal_size-1 downto 0);   -- video output binary signal (unused bits are forced to 0)
83
                true_color_out: out std_logic_vector (v_pal_width-1 downto 0) -- true-color video output
84
        );
85
    end component;
86
 
87
    signal clk_i: std_logic;
88
    signal clk_en: std_logic := '1';
89
    signal rst_i: std_logic := '0';
90
 
91
    -- CPU bus interface
92
    signal dat_i: std_logic_vector (cpu_dat_width-1 downto 0);
93
    signal dat_oi: std_logic_vector (cpu_dat_width-1 downto 0);
94
    signal dat_o: std_logic_vector (cpu_dat_width-1 downto 0);
95
    signal cyc_i: std_logic;
96
    signal ack_o: std_logic;
97
    signal ack_oi: std_logic;
98
    signal err_o: std_logic;
99
    signal err_oi: std_logic;
100
    signal we_i: std_logic;
101
    signal accel_stb_i: std_logic;
102
    signal pal_stb_i: std_logic;
103
    signal reg_stb_i: std_logic;
104
    signal adr_i: std_logic_vector (cpu_adr_width-1 downto 0);
105
    signal sel_i: std_logic_vector ((cpu_dat_width/8)-1 downto 0) := (others => '1');
106
 
107
    -- video memory interface
108
    signal v_adr_o: std_logic_vector (v_adr_width-1 downto 0);
109
    signal v_sel_o: std_logic_vector ((v_dat_width/8)-1 downto 0);
110
    signal v_dat_i: std_logic_vector (v_dat_width-1 downto 0);
111
    signal v_dat_o: std_logic_vector (v_dat_width-1 downto 0);
112
    signal v_cyc_o: std_logic;
113
    signal v_ack_i: std_logic;
114
    signal v_we_o: std_logic;
115
    signal v_stb_o: std_logic;
116
 
117
    -- sync blank and video signal outputs
118
    signal h_sync: std_logic;
119
    signal h_blank: std_logic;
120
    signal v_sync: std_logic;
121
    signal v_blank: std_logic;
122
    signal h_tc: std_logic;
123
    signal v_tc: std_logic;
124
    signal blank: std_logic;
125
    signal video_out: std_logic_vector (v_pal_size-1 downto 0);   -- video output binary signal (unused bits are forced to 0)
126
    signal true_color_out: std_logic_vector (v_pal_width-1 downto 0); -- true-color video output
127
 
128
        constant reg_total0        : integer :=  0;
129
        constant reg_total1        : integer :=  1;
130
        constant reg_total2        : integer :=  2;
131
        constant reg_total3        : integer :=  3;
132
        constant reg_ofs0          : integer :=  4;
133
        constant reg_ofs1          : integer :=  5;
134
        constant reg_ofs2          : integer :=  6;
135
        constant reg_ofs3          : integer :=  7;
136
 
137
        constant reg_fifo_treshold : integer :=  16;
138
        constant reg_bpp           : integer :=  17;
139
        constant reg_hbs           : integer :=  18;
140
        constant reg_hss           : integer :=  19;
141
        constant reg_hse           : integer :=  20;
142
        constant reg_htotal        : integer :=  21;
143
        constant reg_vbs           : integer :=  22;
144
        constant reg_vss           : integer :=  23;
145
        constant reg_vse           : integer :=  24;
146
        constant reg_vtotal        : integer :=  25;
147
        constant reg_pps           : integer :=  26;
148
        constant reg_sync_pol      : integer :=  27;
149
 
150
        constant reg_ws            : integer :=  32;
151
        constant reg_cur           : integer :=  40;
152
        constant reg_ext           : integer :=  44;
153
 
154
        constant val_total0        : std_logic_vector(7 downto 0) :=  "11111111";
155
        constant val_total1        : std_logic_vector(7 downto 0) :=  "00000000";
156
        constant val_total2        : std_logic_vector(7 downto 0) :=  "00000000";
157
        constant val_total3        : std_logic_vector(7 downto 0) :=  "00000000";
158
        constant val_ofs0          : std_logic_vector(7 downto 0) :=  "00000000";
159
        constant val_ofs1          : std_logic_vector(7 downto 0) :=  "00000000";
160
        constant val_ofs2          : std_logic_vector(7 downto 0) :=  "00000000";
161
        constant val_ofs3          : std_logic_vector(7 downto 0) :=  "00000000";
162
        constant val_fifo_treshold : std_logic_vector(7 downto 0) :=  "00000011";
163
        constant val_bpp           : std_logic_vector(7 downto 0) :=  "00000011";
164
        constant val_hbs           : std_logic_vector(7 downto 0) :=  "00000111";
165
        constant val_hss           : std_logic_vector(7 downto 0) :=  "00001000";
166
        constant val_hse           : std_logic_vector(7 downto 0) :=  "00001001";
167
        constant val_htotal        : std_logic_vector(7 downto 0) :=  "00001010";
168
        constant val_vbs           : std_logic_vector(7 downto 0) :=  "00000001";
169
        constant val_vss           : std_logic_vector(7 downto 0) :=  "00000010";
170
        constant val_vse           : std_logic_vector(7 downto 0) :=  "00000011";
171
        constant val_vtotal        : std_logic_vector(7 downto 0) :=  "00000100";
172
        constant val_pps           : std_logic_vector(7 downto 0) :=  "00000001";
173
        constant val_sync_pol      : std_logic_vector(7 downto 0) :=  "10000000";
174
        constant val_ws            : std_logic_vector(7 downto 0) :=  "00000010";
175
 
176
    type data_array is array (integer range <>) of std_logic_vector(v_dat_width-1 downto 0);-- Memory Type
177
        signal mem_data : data_array(0 to (2** v_adr_width-1) );  -- Local data
178
begin
179
 
180
        UUT : vga_core_v2
181
                port map (
182
            clk_i         =>clk_i,
183
            clk_en        =>clk_en,
184
            rst_i         =>rst_i,
185
 
186
            -- CPU bus interface
187
            dat_i         =>dat_i,
188
            dat_oi        =>dat_oi,
189
            dat_o         =>dat_o,
190
            cyc_i         =>cyc_i,
191
            ack_o         =>ack_o,
192
            ack_oi        =>ack_oi,
193
            err_o         =>err_o,
194
            err_oi        =>err_oi,
195
            we_i          =>we_i,
196
            accel_stb_i   =>accel_stb_i,
197
            pal_stb_i     =>pal_stb_i,
198
            reg_stb_i     =>reg_stb_i,
199
            adr_i         =>adr_i,
200
            sel_i         =>sel_i,
201
 
202
            -- video memory interface
203
            v_adr_o       =>v_adr_o,
204
            v_sel_o       =>v_sel_o,
205
            v_dat_i       =>v_dat_i,
206
            v_dat_o       =>v_dat_o,
207
            v_cyc_o       =>v_cyc_o,
208
            v_ack_i       =>v_ack_i,
209
            v_we_o        =>v_we_o,
210
            v_stb_o       =>v_stb_o,
211
 
212
            -- sync blank and video outputs
213
            h_sync        =>h_sync,
214
            h_blank       =>h_blank,
215
            v_sync        =>v_sync,
216
            v_blank       =>v_blank,
217
            h_tc          =>h_tc,
218
            v_tc          =>v_tc,
219
            blank         =>blank,
220
            video_out     =>video_out,
221
            true_color_out=>true_color_out
222
                );
223
 
224
        -- Add your stimulus here ...
225
 
226
        clk_en <= '1';
227
        -- Add your stimulus here ...
228
        clock: process is
229
        begin
230
                wait for 25 ns;
231
                clk_i <= '1';
232
                wait for 25 ns;
233
                clk_i <= '0';
234
        end process;
235
 
236
        ack_oi <= '1';
237
        err_oi <= '1';
238
        dat_oi <= (others => '0');
239
 
240
        setup: process is
241
        begin
242
            sel_i <= (others => '1');
243
                we_i <= '0';
244
                reg_stb_i <= '0';
245
                accel_stb_i <= '0';
246
                pal_stb_i <= '0';
247
                cyc_i <= '0';
248
                rst_i <= '1';
249
                wait until clk_i'EVENT and clk_i = '1';
250
                wait until clk_i'EVENT and clk_i = '1';
251
                rst_i <= '0';
252
                wait until clk_i'EVENT and clk_i = '1';
253
                wait until clk_i'EVENT and clk_i = '1';
254
                wait until clk_i'EVENT and clk_i = '1';
255
                wait until clk_i'EVENT and clk_i = '1';
256
 
257
                if (cpu_dat_width = 8) then
258
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_total0            ,val_total0);
259
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_total1            ,val_total1);
260
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_total2            ,val_total2);
261
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_total3            ,val_total3);
262
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_ofs0              ,val_ofs0);
263
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_ofs1              ,val_ofs1);
264
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_ofs2              ,val_ofs2);
265
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_ofs3              ,val_ofs3);
266
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_ws                ,val_ws);
267
 
268
                        wait until clk_i'EVENT and clk_i = '1';
269
                        wait until clk_i'EVENT and clk_i = '1';
270
                        wait until clk_i'EVENT and clk_i = '1';
271
                        wait until clk_i'EVENT and clk_i = '1';
272
 
273
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_fifo_treshold     ,val_fifo_treshold);
274
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_bpp               ,val_bpp);
275
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_hbs               ,val_hbs);
276
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_hss               ,val_hss);
277
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_hse               ,val_hse);
278
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_htotal            ,val_htotal);
279
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_vbs               ,val_vbs);
280
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_vss               ,val_vss);
281
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_vse               ,val_vse);
282
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_vtotal            ,val_vtotal);
283
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_pps               ,val_pps);
284
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_sync_pol          ,val_sync_pol);
285
 
286
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,0   ,"00000001");
287
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,1   ,"00000000");
288
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,2   ,"00000010");
289
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,3   ,"00000000");
290
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,4   ,"00000100");
291
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,5   ,"00000000");
292
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,6   ,"00001000");
293
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,7   ,"00000000");
294
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,8   ,"00010000");
295
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,9   ,"00000000");
296
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,10  ,"00100000");
297
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,11  ,"00000000");
298
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,12  ,"01000000");
299
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,13  ,"00000000");
300
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,14  ,"10000000");
301
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,15  ,"00000000");
302
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,16  ,"00000000");
303
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,17  ,"00000001");
304
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,18  ,"00000000");
305
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,19  ,"00000010");
306
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,20  ,"00000000");
307
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,21  ,"00000100");
308
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,22  ,"00000000");
309
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,23  ,"00001000");
310
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,24  ,"00000000");
311
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,25  ,"00010000");
312
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,26  ,"00000000");
313
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,27  ,"00100000");
314
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,28  ,"00000000");
315
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,29  ,"01000000");
316
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,30  ,"00000000");
317
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,31  ,"10000000");
318
                end if;
319
                if (cpu_dat_width = 16) then
320
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_total0/2 ,val_total1 & val_total0);
321
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_total2/2 ,val_total3 & val_total2);
322
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_ofs0/2   ,val_ofs1 & val_ofs0);
323
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_ofs2/2   ,val_ofs3 & val_ofs2);
324
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_ws/2     ,"00000000" & val_ws );
325
 
326
                        wait until clk_i'EVENT and clk_i = '1';
327
                        wait until clk_i'EVENT and clk_i = '1';
328
                        wait until clk_i'EVENT and clk_i = '1';
329
                        wait until clk_i'EVENT and clk_i = '1';
330
 
331
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_fifo_treshold/2 ,val_bpp & val_fifo_treshold);
332
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_hbs/2           ,val_hss & val_hbs);
333
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_hse/2           ,val_htotal & val_hse);
334
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_vbs/2           ,val_vss & val_vbs);
335
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_vse/2           ,val_vtotal & val_vse);
336
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_pps/2           ,val_sync_pol & val_pps);
337
 
338
 
339
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,0   ,"0000000000000001");
340
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,1   ,"0000000000000010");
341
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,2   ,"0000000000000100");
342
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,3   ,"0000000000001000");
343
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,4   ,"0000000000010000");
344
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,5   ,"0000000000100000");
345
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,6   ,"0000000001000000");
346
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,7   ,"0000000010000000");
347
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,8   ,"0000000100000000");
348
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,9   ,"0000001000000000");
349
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,10  ,"0000010000000000");
350
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,11  ,"0000100000000000");
351
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,12  ,"0001000000000000");
352
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,13  ,"0010000000000000");
353
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,14  ,"0100000000000000");
354
                        wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,pal_stb_i,ack_o,15  ,"1000000000000000");
355
 
356
            wait for 90us;
357
                wait until clk_i'EVENT and clk_i = '1';
358
 
359
                -- Set Cursor to 0
360
                wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_cur/2 ,"0000000000000000");
361
                -- Accel index 0 is 0
362
                wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,accel_stb_i,ack_o,0,"0000000000000000");
363
                -- Accel index 1 is 1
364
                wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,accel_stb_i,ack_o,1,"0000000000000001");
365
                -- Accel index 2 is 3
366
                wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,accel_stb_i,ack_o,2,"0000000000000011");
367
                -- Accel index 3 is -1
368
                wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,accel_stb_i,ack_o,3,"1111111111111111");
369
 
370
                wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,accel_stb_i,ack_o,2**accel_size+0,"1111000011110000");
371
                wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,accel_stb_i,ack_o,2**accel_size+1,"1111000011110000");
372
                wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,accel_stb_i,ack_o,2**accel_size+1,"1111000011110000");
373
                wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,accel_stb_i,ack_o,2**accel_size+3,"1111000011110000");
374
 
375
                chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_cur/2,"0000000000000001");
376
 
377
                wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,accel_stb_i,ack_o,2**accel_size+3,"1111000011110000");
378
                wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,accel_stb_i,ack_o,2**accel_size+2,"1111000011110000");
379
                wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,accel_stb_i,ack_o,2**accel_size+2,"1111000011110000");
380
                wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,accel_stb_i,ack_o,2**accel_size+2,"1111000011110000");
381
                wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,accel_stb_i,ack_o,2**accel_size+3,"1111000011110000");
382
 
383
                chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_cur/2,"0000000000001000");
384
 
385
                -- Set Cursor to 16
386
                wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_cur/2,"0000000000010000");
387
 
388
                wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,accel_stb_i,ack_o,2**accel_size+0,"1111000011110000");
389
                wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,accel_stb_i,ack_o,2**accel_size+1,"1111000011110000");
390
                wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,accel_stb_i,ack_o,2**accel_size+1,"1111000011110000");
391
                wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,accel_stb_i,ack_o,2**accel_size+3,"1111000011110000");
392
 
393
                chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_cur/2,"0000000000010001");
394
 
395
                wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,accel_stb_i,ack_o,2**accel_size+3,"1111000011110000");
396
                wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,accel_stb_i,ack_o,2**accel_size+2,"1111000011110000");
397
                wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,accel_stb_i,ack_o,2**accel_size+2,"1111000011110000");
398
                wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,accel_stb_i,ack_o,2**accel_size+2,"1111000011110000");
399
                wr_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,accel_stb_i,ack_o,2**accel_size+3,"1111000011110000");
400
 
401
                chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_cur/2,"0000000000011000");
402
 
403
                -- Set Cursor to 0
404
                wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_cur/2,"0000000000000000");
405
 
406
 
407
                end if;
408
                if (cpu_dat_width = 32) then
409
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_total0/4 ,val_total3 & val_total2 & val_total1 & val_total0);
410
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_ofs0/4   ,val_ofs3 & val_ofs2 & val_ofs1 & val_ofs0);
411
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_ws/4     ,"000000000000000000000000" & val_ws );
412
 
413
                        wait until clk_i'EVENT and clk_i = '1';
414
                        wait until clk_i'EVENT and clk_i = '1';
415
                        wait until clk_i'EVENT and clk_i = '1';
416
                        wait until clk_i'EVENT and clk_i = '1';
417
 
418
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_fifo_treshold/4 ,val_hss & val_hbs & val_bpp & val_fifo_treshold);
419
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_hse/4           ,val_vss & val_vbs & val_htotal & val_hse);
420
                        wr_chk_val(clk_i, adr_i,dat_o,dat_i,we_i,cyc_i,reg_stb_i,ack_o,reg_vse/4           ,val_sync_pol & val_pps & val_vtotal & val_vse);
421
                end if;
422
 
423
                wait;
424
        end process;
425
 
426
 
427
        ram: process is
428
--        type data_array is array (integer range <>) of std_logic_vector(v_dat_width-1 downto 0);-- Memory Type
429
--        variable data : data_array(0 to (2** v_adr_width-1) );  -- Local data
430
        variable init: boolean := true;
431
        begin
432
            if (init) then
433
            for i in mem_data'RANGE loop
434
                                mem_data(i) <= CONV_STD_LOGIC_VECTOR(i,v_dat_width);
435
--                data(i) := (others => '0');
436
            end loop;
437
                init := false;
438
            end if;
439
 
440
            wait on clk_i, v_cyc_o, v_stb_o, v_we_o, v_dat_o;
441
            if (v_cyc_o = '1' and v_stb_o = '1') then
442
                v_ack_i <= '1';
443
            else
444
                v_ack_i <= '0';
445
            end if;
446
 
447
            if (clk_i'EVENT and clk_i = '1' and v_cyc_o = '1' and v_stb_o = '1' and v_we_o = '1') then
448
                mem_data(CONV_INTEGER(v_adr_o)) <= v_dat_o;
449
                v_dat_i <= (others => 'U');
450
            elsif (v_cyc_o = '1' and v_stb_o = '1' and v_we_o = '0') then
451
                v_dat_i <= mem_data(CONV_INTEGER(v_adr_o));
452
--                      v_dat_i <= v_adr_o(v_dat_i'RANGE);
453
            else
454
                v_dat_i <= (others => 'U');
455
            end if;
456
        end process;
457
 
458
end TB;
459
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.