OpenCores
URL https://opencores.org/ocsvn/wbddr3/wbddr3/trunk

Subversion Repositories wbddr3

[/] [wbddr3/] [trunk/] [rtl/] [wbddrsdram.v] - Blame information for rev 11

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dgisselq
////////////////////////////////////////////////////////////////////////////////
2
//
3
// Filename:    wbddrsdram.v
4
//
5
// Project:     OpenArty, an entirely open SoC based upon the Arty platform
6
//
7
// Purpose:     
8
//
9
// Creator:     Dan Gisselquist, Ph.D.
10
//              Gisselquist Technology, LLC
11
//
12
////////////////////////////////////////////////////////////////////////////////
13
//
14
// Copyright (C) 2015-2016, Gisselquist Technology, LLC
15
//
16
// This program is free software (firmware): you can redistribute it and/or
17
// modify it under the terms of  the GNU General Public License as published
18
// by the Free Software Foundation, either version 3 of the License, or (at
19
// your option) any later version.
20
//
21
// This program is distributed in the hope that it will be useful, but WITHOUT
22
// ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or
23
// FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
24
// for more details.
25
//
26
// You should have received a copy of the GNU General Public License along
27
// with this program.  (It's in the $(ROOT)/doc directory, run make with no
28
// target there if the PDF file isn't present.)  If not, see
29
// <http://www.gnu.org/licenses/> for a copy.
30
//
31
// License:     GPL, v3, as defined and found on www.gnu.org,
32
//              http://www.gnu.org/licenses/gpl.html
33
//
34
//
35
////////////////////////////////////////////////////////////////////////////////
36
//
37
//
38
 
39
// Possible commands to the DDR3 memory.  These consist of settings for the
40
// bits: o_wb_cs_n, o_wb_ras_n, o_wb_cas_n, and o_wb_we_n, respectively.
41
`define DDR_MRSET       4'b0000
42
`define DDR_REFRESH     4'b0001
43
`define DDR_PRECHARGE   4'b0010
44
`define DDR_ACTIVATE    4'b0011
45
`define DDR_WRITE       4'b0100
46
`define DDR_READ        4'b0101
47 4 dgisselq
`define DDR_ZQS         4'b0110
48 2 dgisselq
`define DDR_NOOP        4'b0111
49
//`define       DDR_DESELECT    4'b1???
50
//
51
// In this controller, 24-bit commands tend to be passed around.  These 
52
// 'commands' are bit fields.  Here we specify the bits associated with
53
// the bit fields.
54 5 dgisselq
`define DDR_RSTDONE     24      // End the reset sequence?
55
`define DDR_RSTTIMER    23      // Does this reset command take multiple clocks?
56
`define DDR_RSTBIT      22      // Value to place on reset_n
57
`define DDR_CKEBIT      21      // Should this reset command set CKE?
58 7 dgisselq
//
59
// Refresh command bit fields
60
`define DDR_NEEDREFRESH 23
61
`define DDR_RFTIMER     22
62
`define DDR_RFBEGIN     21
63
//
64 5 dgisselq
`define DDR_CMDLEN      21
65
`define DDR_CSBIT       20
66
`define DDR_RASBIT      19
67
`define DDR_CASBIT      18
68
`define DDR_WEBIT       17
69
`define DDR_NOPTIMER    16      // Steal this from BA bits
70 2 dgisselq
`define DDR_BABITS      3       // BABITS are really from 18:16, they are 3 bits
71 3 dgisselq
`define DDR_ADDR_BITS   14
72 7 dgisselq
//
73
`define BUSREG  7
74
`define BUSNOW  8
75 2 dgisselq
 
76 3 dgisselq
module  wbddrsdram(i_clk, i_reset,
77 2 dgisselq
                i_wb_cyc, i_wb_stb, i_wb_we, i_wb_addr, i_wb_data,
78 3 dgisselq
                        o_wb_ack, o_wb_stall, o_wb_data,
79 2 dgisselq
                o_ddr_reset_n, o_ddr_cke,
80
                o_ddr_cs_n, o_ddr_ras_n, o_ddr_cas_n, o_ddr_we_n,
81 4 dgisselq
                o_ddr_dqs, o_ddr_dm, o_ddr_odt, o_ddr_bus_oe,
82 10 dgisselq
                o_ddr_addr, o_ddr_ba, o_ddr_data, i_ddr_data);
83 3 dgisselq
        parameter       CKREFI4 = 13'd6240, // 4 * 7.8us at 200 MHz clock
84 7 dgisselq
                        CKRFC = 320,
85 4 dgisselq
                        CKXPR = CKRFC+5+2; // Clocks per tXPR timeout
86 3 dgisselq
        input                   i_clk, i_reset;
87 2 dgisselq
        // Wishbone inputs
88
        input                   i_wb_cyc, i_wb_stb, i_wb_we;
89
        input           [25:0]   i_wb_addr;
90
        input           [31:0]   i_wb_data;
91
        // Wishbone outputs
92
        output  reg             o_wb_ack;
93
        output  reg             o_wb_stall;
94
        output  reg     [31:0]   o_wb_data;
95
        // DDR3 RAM Controller
96 11 dgisselq
        output  reg             o_ddr_reset_n, o_ddr_cke;
97 2 dgisselq
        // Control outputs
98 11 dgisselq
        output  wire            o_ddr_cs_n, o_ddr_ras_n, o_ddr_cas_n,o_ddr_we_n;
99 2 dgisselq
        // DQS outputs:set to 3'b010 when data is active, 3'b100 (i.e. 2'bzz) ow
100 3 dgisselq
        output  wire            o_ddr_dqs;
101 11 dgisselq
        output  reg             o_ddr_dm;
102
        output  wire            o_ddr_odt, o_ddr_bus_oe;
103 2 dgisselq
        // Address outputs
104 11 dgisselq
        output  wire    [13:0]   o_ddr_addr;
105
        output  wire    [2:0]    o_ddr_ba;
106 2 dgisselq
        // And the data inputs and outputs
107
        output  reg     [31:0]   o_ddr_data;
108 7 dgisselq
        input           [31:0]   i_ddr_data;
109 2 dgisselq
 
110 3 dgisselq
        reg             drive_dqs;
111
 
112
        // The pending transaction
113
        reg     [31:0]   r_data;
114
        reg             r_pending, r_we;
115
        reg     [25:0]   r_addr;
116 5 dgisselq
        reg     [13:0]   r_row;
117 3 dgisselq
        reg     [2:0]    r_bank;
118
        reg     [9:0]    r_col;
119
        reg     [1:0]    r_sub;
120
        reg             r_move; // It was accepted, and can move to next stage
121
 
122 9 dgisselq
        // The pending transaction, one further into the pipeline.  This is
123
        // the stage where the read/write command is actually given to the
124
        // interface if we haven't stalled.
125
        reg     [31:0]   s_data;
126 10 dgisselq
        reg             s_pending, s_we; // , s_match;
127 9 dgisselq
        reg     [25:0]   s_addr;
128
        reg     [13:0]   s_row, s_nxt_row;
129
        reg     [2:0]    s_bank, s_nxt_bank;
130
        reg     [9:0]    s_col;
131
        reg     [1:0]    s_sub;
132
 
133 3 dgisselq
        // Can the pending transaction be satisfied with the current (ongoing)
134
        // transaction?
135 9 dgisselq
        reg             m_move, m_match, m_pending, m_we;
136 3 dgisselq
        reg     [25:0]   m_addr;
137 5 dgisselq
        reg     [13:0]   m_row;
138 3 dgisselq
        reg     [2:0]    m_bank;
139
        reg     [9:0]    m_col;
140
        reg     [1:0]    m_sub;
141
 
142
        // Can we preload the next bank?
143 5 dgisselq
        reg     [13:0]   r_nxt_row;
144 3 dgisselq
        reg     [2:0]    r_nxt_bank;
145 6 dgisselq
 
146
        reg     need_close_bank, need_close_this_bank,
147
                        last_close_bank, maybe_close_next_bank,
148
                        last_maybe_close,
149
                need_open_bank, last_open_bank, maybe_open_next_bank,
150
                        last_maybe_open,
151
                valid_bank, last_valid_bank;
152
        reg     [(`DDR_CMDLEN-1):0]      close_bank_cmd, activate_bank_cmd,
153
                                        maybe_close_cmd, maybe_open_cmd, rw_cmd;
154 9 dgisselq
        reg     [1:0]    rw_sub;
155
        reg             rw_we;
156 7 dgisselq
 
157
        wire    w_this_closing_bank, w_this_opening_bank,
158
                w_this_maybe_close, w_this_maybe_open,
159 9 dgisselq
                w_this_rw_move;
160 7 dgisselq
        reg     last_closing_bank, last_opening_bank;
161 2 dgisselq
//
162
// tWTR = 7.5
163
// tRRD = 7.5
164
// tREFI= 7.8
165
// tFAW = 45
166
// tRTP = 7.5
167
// tCKE = 5.625
168
// tRFC = 160
169
// tRP  = 13.5
170
// tRAS = 36
171
// tRCD = 13.5
172
//
173
// RESET:
174
//      1. Hold o_reset_n = 1'b0; for 200 us, or 40,000 clocks (65536 perhaps?)
175
//              Hold cke low during this time as well
176
//              The clock should be free running into the chip during this time
177
//              Leave command in NOOP state: {cs,ras,cas,we} = 4'h7;
178
//              ODT must be held low
179
//      2. Hold cke low for another 500us, or 100,000 clocks
180
//      3. Raise CKE, continue outputting a NOOP for
181
//              tXPR, tDLLk, and tZQInit
182
//      4. Load MRS2, wait tMRD
183
//      4. Load MRS3, wait tMRD
184
//      4. Load MRS1, wait tMOD
185
// Before using the SDRAM, we'll need to program at least 3 of the mode
186
//      registers, if not all 4. 
187
//   tMOD clocks are required to program the mode registers, during which
188
//      time the RAM must be idle.
189
//
190
// NOOP: CS low, RAS, CAS, and WE high
191
 
192
//
193
// Reset logic should be simple, and is given as follows:
194
// note that it depends upon a ROM memory, reset_mem, and an address into that
195
// memory: reset_address.  Each memory location provides either a "command" to
196
// the DDR3 SDRAM, or a timer to wait until the next command.  Further, the
197
// timer commands indicate whether or not the command during the timer is to
198
// be set to idle, or whether the command is instead left as it was.
199 9 dgisselq
        reg             reset_override, reset_ztimer, maintenance_override;
200 6 dgisselq
        reg     [4:0]    reset_address;
201 9 dgisselq
        reg     [(`DDR_CMDLEN-1):0]      reset_cmd, cmd, refresh_cmd,
202
                                        maintenance_cmd;
203 5 dgisselq
        reg     [24:0]   reset_instruction;
204 3 dgisselq
        reg     [16:0]   reset_timer;
205
        initial reset_override = 1'b1;
206 6 dgisselq
        initial reset_address  = 5'h0;
207 2 dgisselq
        always @(posedge i_clk)
208
                if (i_reset)
209
                begin
210
                        reset_override <= 1'b1;
211 5 dgisselq
                        reset_cmd <= { `DDR_NOOP, reset_instruction[16:0]};
212
                end else if (reset_ztimer)
213
                begin
214
                        if (reset_instruction[`DDR_RSTDONE])
215
                                reset_override <= 1'b0;
216
                        reset_cmd <= reset_instruction[20:0];
217
                end
218 2 dgisselq
 
219 4 dgisselq
        initial reset_ztimer = 1'b0;    // Is the timer zero?
220 5 dgisselq
        initial reset_timer = 17'h02;
221 2 dgisselq
        always @(posedge i_clk)
222
                if (i_reset)
223
                begin
224
                        reset_ztimer <= 1'b0;
225 5 dgisselq
                        reset_timer <= 17'd2;
226 2 dgisselq
                end else if (!reset_ztimer)
227
                begin
228
                        reset_ztimer <= (reset_timer == 17'h01);
229
                        reset_timer <= reset_timer - 17'h01;
230
                end else if (reset_instruction[`DDR_RSTTIMER])
231
                begin
232
                        reset_ztimer <= 1'b0;
233
                        reset_timer <= reset_instruction[16:0];
234
                end
235
 
236 5 dgisselq
        wire    [16:0]   w_ckXPR = CKXPR, w_ckRST = 4, w_ckRP = 3,
237 4 dgisselq
                        w_ckRFC = CKRFC;
238 2 dgisselq
        always @(posedge i_clk)
239 4 dgisselq
                if (i_reset)
240 5 dgisselq
                        reset_instruction <= { 4'h4, `DDR_NOOP, 17'd40_000 };
241
                else if (reset_ztimer) case(reset_address) // RSTDONE, TIMER, CKE, ??
242 4 dgisselq
                // 1. Reset asserted (active low) for 200 us. (@200MHz)
243 6 dgisselq
                5'h0: reset_instruction <= { 4'h4, `DDR_NOOP, 17'd40_000 };
244 4 dgisselq
                // 2. Reset de-asserted, wait 500 us before asserting CKE
245 6 dgisselq
                5'h1: reset_instruction <= { 4'h6, `DDR_NOOP, 17'd100_000 };
246 4 dgisselq
                // 3. Assert CKE, wait minimum of Reset CKE Exit time
247 6 dgisselq
                5'h2: reset_instruction <= { 4'h7, `DDR_NOOP, w_ckXPR };
248 4 dgisselq
                // 4. Look MR2.  (1CK, no TIMER)
249 6 dgisselq
                5'h3: reset_instruction <= { 4'h3, `DDR_MRSET, 3'h2,
250 5 dgisselq
                        3'h0, 2'b00, 1'b0, 1'b0, 1'b1, 3'b0, 3'b0 }; // MRS2
251 4 dgisselq
                // 3. Wait 4 clocks (tMRD)
252 6 dgisselq
                5'h4: reset_instruction <= { 4'h7, `DDR_NOOP, 17'h02 };
253 4 dgisselq
                // 5. Set MR1
254 6 dgisselq
                5'h5: reset_instruction <= { 4'h3, `DDR_MRSET, 3'h1,
255 5 dgisselq
                        1'h0, // Reserved for Future Use (RFU)
256 4 dgisselq
                        1'b0, // Qoff - output buffer enabled
257
                        1'b1, // TDQS ... enabled
258
                        1'b0, // RFU
259
                        1'b0, // High order bit, Rtt_Nom (3'b011)
260
                        1'b0, // RFU
261
                        //
262
                        1'b0, // Disable write-leveling
263
                        1'b1, // Mid order bit of Rtt_Nom
264
                        1'b0, // High order bit of Output Drvr Impedence Ctrl
265
                        2'b0, // Additive latency = 0
266
                        1'b1, // Low order bit of Rtt_Nom
267
                        1'b1, // DIC set to 2'b01
268
                        1'b1 }; // MRS1, DLL enable
269
                // 7. Wait another 4 clocks
270 6 dgisselq
                5'h6: reset_instruction <= { 4'h7, `DDR_NOOP, 17'h02 };
271 4 dgisselq
                // 8. Send MRS0
272 6 dgisselq
                5'h7: reset_instruction <= { 4'h3, `DDR_MRSET, 3'h0,
273 5 dgisselq
                        1'b0, // Reserved for future use
274 4 dgisselq
                        1'b0, // PPD control, (slow exit(DLL off))
275
                        3'b1, // Write recovery for auto precharge
276
                        1'b0, // DLL Reset (No)
277
                        //
278
                        1'b0, // TM mode normal
279
                        3'b01, // High 3-bits, CAS latency (=4'b0010 = 4'd5)
280
                        1'b0, // Read burst type = nibble sequential
281
                        1'b0, // Low bit of cas latency
282
                        2'b0 }; // Burst length = 8 (Fixed)
283
                // 9. Wait tMOD, is max(12 clocks, 15ns)
284 6 dgisselq
                5'h8: reset_instruction <= { 4'h7, `DDR_NOOP, 17'h0a };
285 4 dgisselq
                // 10. Issue a ZQCL command to start ZQ calibration, A10 is high
286 6 dgisselq
                5'h9: reset_instruction <= { 4'h3, `DDR_ZQS, 6'h0, 1'b1, 10'h0};
287 4 dgisselq
                //11.Wait for both tDLLK and tZQinit completed, both are 512 cks
288 6 dgisselq
                5'ha: reset_instruction <= { 4'h7, `DDR_NOOP, 17'd512 };
289 4 dgisselq
                // 12. Precharge all command
290 6 dgisselq
                5'hb: reset_instruction <= { 4'h3, `DDR_PRECHARGE, 6'h0, 1'b1, 10'h0 };
291 4 dgisselq
                // 13. Wait for the precharge to complete
292 6 dgisselq
                5'hc: reset_instruction <= { 4'h7, `DDR_NOOP, w_ckRP };
293 4 dgisselq
                // 14. A single Auto Refresh commands
294 6 dgisselq
                5'hd: reset_instruction <= { 4'h3, `DDR_REFRESH, 17'h00 };
295 4 dgisselq
                // 15. Wait for the auto refresh to complete
296 6 dgisselq
                5'he: reset_instruction <= { 4'h7, `DDR_NOOP, w_ckRFC };
297 4 dgisselq
                // Two Auto Refresh commands
298 2 dgisselq
                default:
299 5 dgisselq
                        reset_instruction <={4'hb, `DDR_NOOP, 17'd00_000 };
300 2 dgisselq
                endcase
301
                // reset_instruction <= reset_mem[reset_address];
302
 
303 6 dgisselq
        initial reset_address = 5'h0;
304 2 dgisselq
        always @(posedge i_clk)
305
                if (i_reset)
306 6 dgisselq
                        reset_address <= 5'h1;
307
                else if ((reset_ztimer)&&(reset_override))
308
                        reset_address <= reset_address + 5'h1;
309 2 dgisselq
//
310
// initial reset_mem =
311
//       0.     !DONE, TIMER,RESET_N=0, CKE=0, CMD = NOOP, TIMER = 200us ( 40,000)
312
//       1.     !DONE, TIMER,RESET_N=1, CKE=0, CMD = NOOP, TIMER = 500us (100,000)
313
//       2.     !DONE, TIMER,RESET_N=1, CKE=1, CMD = NOOP, TIMER = (Look me up)
314
//       3.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = MODE, MRS
315
//       4.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = NOOP, TIMER = tMRS
316
//       5.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = MODE, MRS3
317
//       6.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = NOOP, TIMER = tMRS
318
//       7.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = MODE, MRS1
319
//       8.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = NOOP, TIMER = tMRS
320
//       9.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = MODE, MRS1
321
//      10.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = NOOP, TIMER = tMOD
322
//      11.     !DONE,!TIMER,RESET_N=1, CKE=1, (Pre-charge all)
323
//      12.     !DONE,!TIMER,RESET_N=1, CKE=1, (wait)
324
//      13.     !DONE,!TIMER,RESET_N=1, CKE=1, (Auto-refresh)
325
//      14.     !DONE,!TIMER,RESET_N=1, CKE=1, (Auto-refresh)
326
//      15.     !DONE,!TIMER,RESET_N=1, CKE=1, (wait)
327
 
328
 
329
//
330
//
331
// Let's keep track of any open banks.  There are 8 of them to keep track of.
332
//
333
//      A precharge requires 3 clocks at 200MHz to complete, 2 clocks at 100MHz.
334
//      
335
//
336
//
337 3 dgisselq
        reg     need_refresh;
338 2 dgisselq
 
339 3 dgisselq
        wire    w_precharge_all;
340
        reg     banks_are_closing, all_banks_closed;
341 6 dgisselq
        reg     [3:0]    bank_status     [0:7];
342
        reg     [13:0]   bank_address    [0:7];
343
 
344 2 dgisselq
        always @(posedge i_clk)
345
        begin
346 6 dgisselq
                bank_status[0] <= { bank_status[0][2:0], bank_status[0][0] };
347
                bank_status[1] <= { bank_status[1][2:0], bank_status[1][0] };
348
                bank_status[2] <= { bank_status[2][2:0], bank_status[2][0] };
349
                bank_status[3] <= { bank_status[3][2:0], bank_status[3][0] };
350
                bank_status[4] <= { bank_status[4][2:0], bank_status[4][0] };
351
                bank_status[5] <= { bank_status[5][2:0], bank_status[5][0] };
352
                bank_status[6] <= { bank_status[6][2:0], bank_status[6][0] };
353
                bank_status[7] <= { bank_status[7][2:0], bank_status[7][0] };
354
                all_banks_closed <= (bank_status[0][2:0] == 3'b00)
355
                                        &&(bank_status[1][2:0] == 3'b00)
356
                                        &&(bank_status[2][2:0] == 3'b00)
357
                                        &&(bank_status[3][2:0] == 3'b00)
358
                                        &&(bank_status[4][2:0] == 3'b00)
359
                                        &&(bank_status[5][2:0] == 3'b00)
360
                                        &&(bank_status[6][2:0] == 3'b00)
361
                                        &&(bank_status[7][2:0] == 3'b00);
362 7 dgisselq
                if (reset_override)
363 2 dgisselq
                begin
364 6 dgisselq
                        bank_status[0][0] <= 1'b0;
365
                        bank_status[1][0] <= 1'b0;
366
                        bank_status[2][0] <= 1'b0;
367
                        bank_status[3][0] <= 1'b0;
368
                        bank_status[4][0] <= 1'b0;
369
                        bank_status[5][0] <= 1'b0;
370
                        bank_status[6][0] <= 1'b0;
371
                        bank_status[7][0] <= 1'b0;
372 2 dgisselq
                        banks_are_closing <= 1'b1;
373 7 dgisselq
                end else if ((need_refresh)||(w_precharge_all))
374
                begin
375
                        bank_status[0][0] <= 1'b0;
376
                        bank_status[1][0] <= 1'b0;
377
                        bank_status[2][0] <= 1'b0;
378
                        bank_status[3][0] <= 1'b0;
379
                        bank_status[4][0] <= 1'b0;
380
                        bank_status[5][0] <= 1'b0;
381
                        bank_status[6][0] <= 1'b0;
382
                        bank_status[7][0] <= 1'b0;
383
                        banks_are_closing <= 1'b1;
384 2 dgisselq
                end else if (need_close_bank)
385
                begin
386 6 dgisselq
                        bank_status[close_bank_cmd[16:14]]
387 8 dgisselq
                                <= { bank_status[close_bank_cmd[16:14]][2:0], 1'b0 };
388 6 dgisselq
                        // bank_status[close_bank_cmd[16:14]][0] <= 1'b0;
389 2 dgisselq
                end else if (need_open_bank)
390
                begin
391 6 dgisselq
                        bank_status[activate_bank_cmd[16:14]]
392
                                <= { bank_status[activate_bank_cmd[16:14]][2:0], 1'b1 };
393
                        // bank_status[activate_bank_cmd[16:14]][0] <= 1'b1;
394 2 dgisselq
                        all_banks_closed <= 1'b0;
395
                        banks_are_closing <= 1'b0;
396 6 dgisselq
                end else if ((valid_bank)&&(!r_move))
397
                        ;
398
                else if (maybe_close_next_bank)
399
                begin
400
                        bank_status[maybe_close_cmd[16:14]]
401 8 dgisselq
                                <= { bank_status[maybe_close_cmd[16:14]][2:0], 1'b0 };
402 6 dgisselq
                end else if (maybe_open_next_bank)
403
                begin
404
                        bank_status[maybe_open_cmd[16:14]]
405
                                <= { bank_status[maybe_open_cmd[16:14]][2:0], 1'b1 };
406
                        // bank_status[activate_bank_cmd[16:14]][0] <= 1'b1;
407
                        all_banks_closed <= 1'b0;
408
                        banks_are_closing <= 1'b0;
409 2 dgisselq
                end
410
        end
411
 
412
        always @(posedge i_clk)
413 3 dgisselq
                // if (cmd[22:19] == `DDR_ACTIVATE)
414 8 dgisselq
                if (w_this_opening_bank)
415 5 dgisselq
                        bank_address[activate_bank_cmd[16:14]]
416
                                <= activate_bank_cmd[13:0];
417 8 dgisselq
                else if (!w_this_maybe_open)
418
                        bank_address[maybe_open_cmd[16:14]]
419
                                <= maybe_open_cmd[13:0];
420 2 dgisselq
 
421
//
422
//
423
// Okay, let's investigate when we need to do a refresh.  Our plan will be to
424
// do 4 refreshes every tREFI*4 seconds.  tREFI = 7.8us, but its a parameter
425
// in the number of clocks so that we can handle both 100MHz and 200MHz clocks.
426
//
427
// Note that 160ns are needed between refresh commands (JEDEC, p172), or
428
// 320 clocks @200MHz, or equivalently 160 clocks @100MHz.  Thus to issue 4
429
// of these refresh cycles will require 4*320=1280 clocks@200 MHz.  After this
430
// time, no more refreshes will be needed for 6240 clocks.
431
//
432
// Let's think this through:
433
//      REFRESH_COST = (n*(320)+24)/(n*1560)
434
// 
435
//
436
//
437 7 dgisselq
        reg             refresh_ztimer;
438
        reg     [16:0]   refresh_counter;
439
        reg     [3:0]    refresh_addr;
440
        reg     [23:0]   refresh_instruction;
441 2 dgisselq
        always @(posedge i_clk)
442 7 dgisselq
                if (reset_override)
443
                        refresh_addr <= 4'hf;
444
                else if (refresh_ztimer)
445
                        refresh_addr <= refresh_addr + 1;
446
                else if (refresh_instruction[`DDR_RFBEGIN])
447
                        refresh_addr <= 4'h0;
448 6 dgisselq
 
449 2 dgisselq
        always @(posedge i_clk)
450 7 dgisselq
                if (reset_override)
451
                begin
452
                        refresh_ztimer <= 1'b1;
453
                        refresh_counter <= 17'd0;
454
                end else if (!refresh_ztimer)
455
                begin
456
                        refresh_ztimer <= (refresh_counter == 17'h1);
457
                        refresh_counter <= (refresh_counter - 17'h1);
458
                end else if (refresh_instruction[`DDR_RFTIMER])
459
                begin
460
                        refresh_ztimer <= 1'b0;
461
                        refresh_counter <= refresh_instruction[16:0];
462
                end
463 2 dgisselq
 
464 7 dgisselq
        wire    [16:0]   w_ckREFIn, w_ckREFRst;
465
        assign  w_ckREFIn[ 12: 0] = CKREFI4-5*CKRFC-2-10;
466
        assign  w_ckREFIn[ 16:13] = 4'h0;
467 8 dgisselq
        assign  w_ckREFRst[12: 0] = CKRFC-2-12;
468 7 dgisselq
        assign  w_ckREFRst[16:13] = 4'h0;
469
 
470 2 dgisselq
        always @(posedge i_clk)
471 7 dgisselq
                if (reset_override)
472 11 dgisselq
                        refresh_cmd <= { 3'h0, `DDR_NOOP, w_ckREFIn };
473 7 dgisselq
                else if (refresh_ztimer)
474
                        refresh_cmd <= refresh_instruction[20:0];
475 2 dgisselq
        always @(posedge i_clk)
476 7 dgisselq
                if (reset_override)
477
                        need_refresh <= 1'b0;
478
                else if (refresh_ztimer)
479
                        need_refresh <= refresh_instruction[`DDR_NEEDREFRESH];
480 2 dgisselq
 
481
        always @(posedge i_clk)
482 7 dgisselq
        if (refresh_ztimer)
483
                case(refresh_addr)//NEED-RFC, HAVE-TIMER, 
484
                4'h0: refresh_instruction <= { 3'h2, `DDR_NOOP, w_ckREFIn };
485
                // 17'd10 = time to complete write, plus write recovery time
486
                //              minus two (cause we can't count zero or one)
487
                //      = WL+4+tWR-2 = 10
488
                //      = 5+4+3-2 = 10
489
                4'h1: refresh_instruction <= { 3'h6, `DDR_NOOP, 17'd10 };
490
                4'h2: refresh_instruction <= { 3'h4, `DDR_PRECHARGE, 17'h0400 };
491
                4'h3: refresh_instruction <= { 3'h6, `DDR_NOOP, 17'd2 };
492
                4'h4: refresh_instruction <= { 3'h4, `DDR_REFRESH, 17'h00 };
493
                4'h5: refresh_instruction <= { 3'h6, `DDR_NOOP, w_ckRFC };
494
                4'h6: refresh_instruction <= { 3'h4, `DDR_REFRESH, 17'h00 };
495
                4'h7: refresh_instruction <= { 3'h6, `DDR_NOOP, w_ckRFC };
496
                4'h8: refresh_instruction <= { 3'h4, `DDR_REFRESH, 17'h00 };
497
                4'h9: refresh_instruction <= { 3'h6, `DDR_NOOP, w_ckRFC };
498
                4'ha: refresh_instruction <= { 3'h4, `DDR_REFRESH, 17'h00 };
499
                4'hb: refresh_instruction <= { 3'h6, `DDR_NOOP, w_ckRFC };
500 8 dgisselq
                4'hc: refresh_instruction <= { 3'h2, `DDR_NOOP, w_ckREFRst };
501 7 dgisselq
                default:
502
                        refresh_instruction <= { 3'h1, `DDR_NOOP, 17'h00 };
503
                endcase
504 2 dgisselq
 
505
 
506
//
507
//
508
//      Let's track: when will our bus be active?  When will we be reading or
509
//      writing?
510
//
511
//
512 7 dgisselq
        reg     [`BUSNOW:0]      bus_active, bus_read, bus_new;
513
        reg     [1:0]    bus_subaddr     [`BUSNOW:0];
514 3 dgisselq
        initial bus_active = 0;
515 2 dgisselq
        always @(posedge i_clk)
516
        begin
517 7 dgisselq
                bus_active[`BUSNOW:0] <= { bus_active[(`BUSNOW-1):0], 1'b0 };
518
                bus_read[`BUSNOW:0]   <= { bus_read[(`BUSNOW-1):0], 1'b0 }; // Drive the d-bus?
519 9 dgisselq
                // Is this a new command?  i.e., the start of a transaction?
520
                bus_new[`BUSNOW:0]   <= { bus_new[(`BUSNOW-1):0], 1'b0 };
521 3 dgisselq
                //bus_mask[8:0] <= { bus_mask[7:0], 1'b1 }; // Write this value?
522 2 dgisselq
                bus_subaddr[8]  <= bus_subaddr[7];
523
                bus_subaddr[7]  <= bus_subaddr[6];
524
                bus_subaddr[6]  <= bus_subaddr[5];
525
                bus_subaddr[5]  <= bus_subaddr[4];
526
                bus_subaddr[4]  <= bus_subaddr[3];
527
                bus_subaddr[3]  <= bus_subaddr[2];
528
                bus_subaddr[2]  <= bus_subaddr[1];
529
                bus_subaddr[1]  <= bus_subaddr[0];
530
                bus_subaddr[0]  <= 2'h3;
531 7 dgisselq
                if (w_this_rw_move)
532 2 dgisselq
                begin
533
                        bus_active[3:0]<= 4'hf; // Once per clock
534
                        bus_read[3:0]  <= 4'hf; // These will be reads
535
                        bus_subaddr[3] <= 2'h0;
536
                        bus_subaddr[2] <= 2'h1;
537
                        bus_subaddr[1] <= 2'h2;
538 9 dgisselq
                        bus_new[{ 2'b0, rw_sub }] <= 1'b1;
539 4 dgisselq
 
540 9 dgisselq
                        bus_read[3:0] <= (rw_we)? 4'h0:4'hf;
541 2 dgisselq
                end
542
        end
543
 
544
        always @(posedge i_clk)
545 7 dgisselq
                drive_dqs <= (~bus_read[`BUSREG])&&(|bus_active[`BUSREG]);
546 2 dgisselq
 
547
//
548
//
549
// Now, let's see, can we issue a read command?
550
//
551
//
552 9 dgisselq
        wire    w_s_match;
553
        assign  w_s_match = (s_pending)&&(r_pending)&&(r_we == s_we)
554
                                &&(r_row == s_row)&&(r_bank == s_bank)
555
                                &&(r_col == s_col)
556
                                &&(r_sub > s_sub);
557
        reg     pipe_stall;
558 2 dgisselq
        always @(posedge i_clk)
559
        begin
560 9 dgisselq
                r_pending <= (i_wb_stb)&&(~o_wb_stall)
561
                                ||(r_pending)&&(pipe_stall);
562
                if (~pipe_stall)
563
                        s_pending <= r_pending;
564
                if (~pipe_stall)
565 2 dgisselq
                begin
566 9 dgisselq
                        pipe_stall <= (r_pending)&&(((!w_r_valid)||(valid_bank))&&(!w_s_match));
567
                        o_wb_stall <= (r_pending)&&(((!w_r_valid)||(valid_bank))&&(!w_s_match));
568
                end else begin // if (pipe_stall)
569
                        pipe_stall <= (s_pending)&&((!w_s_valid)||(valid_bank)||(r_move)||(last_valid_bank));
570
                        o_wb_stall <= (s_pending)&&((!w_s_valid)||(valid_bank)||(r_move)||(last_valid_bank));
571
                end
572
                if (need_refresh)
573 2 dgisselq
                        o_wb_stall <= 1'b1;
574
 
575 9 dgisselq
                if (~pipe_stall)
576 2 dgisselq
                begin
577
                        r_we   <= i_wb_we;
578
                        r_addr <= i_wb_addr;
579
                        r_data <= i_wb_data;
580 5 dgisselq
                        r_row  <= i_wb_addr[25:12];
581
                        r_bank <= i_wb_addr[11:9];
582
                        r_col  <= { i_wb_addr[8:2], 3'b000 }; // 9:2
583 2 dgisselq
                        r_sub  <= i_wb_addr[1:0];
584
 
585
                        // pre-emptive work
586 6 dgisselq
                        r_nxt_row  <= (i_wb_addr[11:9]==3'h7)?i_wb_addr[25:12]+14'h1:i_wb_addr[25:12];
587 5 dgisselq
                        r_nxt_bank <= i_wb_addr[11:9]+3'h1;
588 2 dgisselq
                end
589 9 dgisselq
 
590
                if (~pipe_stall)
591
                begin
592
                        // Moving one down the pipeline
593
                        s_we   <= r_we;
594
                        s_addr <= r_addr;
595
                        s_data <= r_data;
596
                        s_row  <= r_row;
597
                        s_bank <= r_bank;
598
                        s_col  <= r_col;
599
                        s_sub  <= r_sub;
600
 
601
                        // pre-emptive work
602
                        s_nxt_row  <= r_nxt_row;
603
                        s_nxt_bank <= r_nxt_bank;
604
 
605 10 dgisselq
                        // s_match <= w_s_match;
606 9 dgisselq
                end
607 2 dgisselq
        end
608
 
609 9 dgisselq
        wire    w_need_close_this_bank, w_need_open_bank,
610
                w_r_valid, w_s_valid;
611 6 dgisselq
        assign  w_need_close_this_bank = (r_pending)&&(bank_status[r_bank][0])
612 9 dgisselq
                        &&(r_row != bank_address[r_bank])
613
                        ||(pipe_stall)&&(s_pending)&&(bank_status[s_bank][0])
614
                                &&(s_row != bank_address[s_bank]);
615
        assign  w_need_open_bank = (r_pending)&&(bank_status[r_bank][1:0]==2'b00)
616
                        ||(pipe_stall)&&(s_pending)&&(bank_status[s_bank][1:0]==2'b00);
617
        assign  w_r_valid = (!need_refresh)&&(r_pending)
618
                        &&(bank_status[r_bank][3])
619
                        &&(bank_address[r_bank]==r_row)
620
                        &&(!bus_active[0]);
621
        assign  w_s_valid = (!need_refresh)&&(s_pending)
622
                        &&(bank_status[s_bank][3])
623
                        &&(bank_address[s_bank]==s_row)
624
                        &&(!bus_active[0]);
625 3 dgisselq
 
626 2 dgisselq
        always @(posedge i_clk)
627
        begin
628 6 dgisselq
                need_close_bank <= (w_need_close_this_bank)
629 10 dgisselq
                                &&(!need_open_bank)
630
                                &&(!need_close_bank)
631 6 dgisselq
                                &&(!w_this_closing_bank)&&(!last_closing_bank);
632 2 dgisselq
 
633
                maybe_close_next_bank <= (r_pending)
634 6 dgisselq
                        &&(bank_status[r_nxt_bank][0])
635 2 dgisselq
                        &&(r_nxt_row != bank_address[r_nxt_bank])
636 6 dgisselq
                        &&(!w_this_maybe_close)&&(!last_maybe_close);
637 2 dgisselq
 
638 6 dgisselq
                close_bank_cmd <= { `DDR_PRECHARGE, r_bank, r_row[13:11], 1'b0, r_row[9:0] };
639
                maybe_close_cmd <= { `DDR_PRECHARGE, r_nxt_bank, r_nxt_row[13:11], 1'b0, r_nxt_row[9:0] };
640 2 dgisselq
 
641
 
642 6 dgisselq
                need_open_bank <= (w_need_open_bank)
643
                                &&(!w_this_opening_bank)&&(!last_opening_bank);
644
                last_open_bank <= (w_this_opening_bank);
645 2 dgisselq
 
646
                maybe_open_next_bank <= (r_pending)
647 6 dgisselq
                        &&(bank_status[r_bank][0] == 1'b1)
648
                        &&(bank_status[r_nxt_bank][1:0] == 2'b00)
649
                        &&(!w_this_maybe_open)&&(!last_maybe_open);
650 2 dgisselq
 
651 6 dgisselq
                activate_bank_cmd<= { `DDR_ACTIVATE,  r_bank,     r_row[13:0] };
652
                maybe_open_cmd <= { `DDR_ACTIVATE,r_nxt_bank, r_nxt_row[13:0] };
653 2 dgisselq
 
654
 
655
 
656 9 dgisselq
                valid_bank <= ((w_r_valid)||(pipe_stall)&&(w_s_valid))
657
                                &&(!last_valid_bank)&&(!r_move);
658 6 dgisselq
                last_valid_bank <= r_move;
659 2 dgisselq
 
660 9 dgisselq
                if ((s_pending)&&(pipe_stall))
661
                        rw_cmd[`DDR_CSBIT:`DDR_WEBIT] <= (s_we)?`DDR_WRITE:`DDR_READ;
662
                else if (r_pending)
663
                        rw_cmd[`DDR_CSBIT:`DDR_WEBIT] <= (r_we)?`DDR_WRITE:`DDR_READ;
664
                else
665
                        rw_cmd[`DDR_CSBIT:`DDR_WEBIT] <= `DDR_NOOP;
666
                if ((s_pending)&&(pipe_stall))
667
                        rw_cmd[`DDR_WEBIT-1:0] <= { s_bank, 3'h0, 1'b0, s_col };
668
                else
669
                        rw_cmd[`DDR_WEBIT-1:0] <= { r_bank, 3'h0, 1'b0, r_col };
670
                if ((s_pending)&&(pipe_stall))
671
                        rw_sub <= 2'b11 - s_sub;
672
                else
673
                        rw_sub <= 2'b11 - r_sub;
674
                if ((s_pending)&&(pipe_stall))
675
                        rw_we <= s_we;
676
                else
677
                        rw_we <= r_we;
678
 
679 2 dgisselq
        end
680
 
681
//
682
//
683
// Okay, let's look at the last assignment in our chain.  It should look
684
// something like:
685
        always @(posedge i_clk)
686 4 dgisselq
                if (i_reset)
687
                        o_ddr_reset_n <= 1'b0;
688
                else if (reset_ztimer)
689
                        o_ddr_reset_n <= reset_instruction[`DDR_RSTBIT];
690 2 dgisselq
        always @(posedge i_clk)
691 4 dgisselq
                if (i_reset)
692
                        o_ddr_cke <= 1'b0;
693
                else if (reset_ztimer)
694
                        o_ddr_cke <= reset_instruction[`DDR_CKEBIT];
695 6 dgisselq
 
696 9 dgisselq
        always @(posedge i_clk)
697
                if (i_reset)
698
                        maintenance_override <= 1'b1;
699
                else
700
                        maintenance_override <= (reset_override)||(need_refresh);
701 7 dgisselq
 
702 9 dgisselq
        initial maintenance_cmd = { `DDR_NOOP, 17'h00 };
703
        always @(posedge i_clk)
704
                if (i_reset)
705
                        maintenance_cmd <= { `DDR_NOOP, 17'h00 };
706
                else
707
                        maintenance_cmd <= (reset_override)?reset_cmd:refresh_cmd;
708
 
709
        assign  w_this_closing_bank = (!maintenance_override)
710 6 dgisselq
                                &&(need_close_bank);
711 9 dgisselq
        assign  w_this_opening_bank = (!maintenance_override)
712 6 dgisselq
                                &&(!need_close_bank)&&(need_open_bank);
713 9 dgisselq
        assign  w_this_rw_move = (!maintenance_override)
714 7 dgisselq
                                &&(!need_close_bank)&&(!need_open_bank)
715
                                &&(valid_bank)&&(!r_move);
716 9 dgisselq
        assign  w_this_maybe_close = (!maintenance_override)
717 6 dgisselq
                                &&(!need_close_bank)&&(!need_open_bank)
718
                                &&((!valid_bank)||(r_move))
719
                                &&(maybe_close_next_bank);
720 9 dgisselq
        assign  w_this_maybe_open = (!maintenance_override)
721 6 dgisselq
                                &&(!need_close_bank)&&(!need_open_bank)
722
                                &&((!valid_bank)||(r_move))
723
                                &&(!maybe_close_next_bank)
724
                                &&(maybe_open_next_bank);
725 2 dgisselq
        always @(posedge i_clk)
726
        begin
727 6 dgisselq
                last_opening_bank <= 1'b0;
728
                last_closing_bank <= 1'b0;
729
                last_maybe_open   <= 1'b0;
730
                last_maybe_close  <= 1'b0;
731 2 dgisselq
                r_move <= 1'b0;
732 9 dgisselq
                if (maintenance_override) // Command from either reset or
733
                        cmd <= maintenance_cmd; // refresh logic
734
                else if (need_close_bank)
735 2 dgisselq
                begin
736
                        cmd <= close_bank_cmd;
737 6 dgisselq
                        last_closing_bank <= 1'b1;
738
                end else if (need_open_bank)
739
                begin
740 2 dgisselq
                        cmd <= activate_bank_cmd;
741 6 dgisselq
                        last_opening_bank <= 1'b1;
742
                end else if ((valid_bank)&&(!r_move))
743 2 dgisselq
                begin
744
                        cmd <= rw_cmd;
745
                        r_move <= 1'b1;
746 6 dgisselq
                end else if (maybe_close_next_bank)
747
                begin
748
                        cmd <= maybe_close_cmd;
749
                        last_maybe_close <= 1'b1;
750
                end else if (maybe_open_next_bank)
751
                begin
752
                        cmd <= maybe_open_cmd;
753
                        last_maybe_open <= 1'b1;
754 2 dgisselq
                end else
755 4 dgisselq
                        cmd <= { `DDR_NOOP, rw_cmd[(`DDR_WEBIT-1):0] };
756 2 dgisselq
        end
757
 
758 7 dgisselq
`define LGFIFOLN        4
759
`define FIFOLEN         16
760
        reg     [(`LGFIFOLN-1):0]        bus_fifo_head, bus_fifo_tail;
761
        reg     [31:0]   bus_fifo_data   [0:(`FIFOLEN-1)];
762
        reg     [1:0]    bus_fifo_sub    [0:(`FIFOLEN-1)];
763
        reg             bus_fifo_new    [0:(`FIFOLEN-1)];
764
        reg             pre_ack;
765 3 dgisselq
 
766 7 dgisselq
        // The bus R/W FIFO
767
        wire    w_bus_fifo_read_next_transaction;
768 9 dgisselq
        assign  w_bus_fifo_read_next_transaction =
769
                (bus_fifo_sub[bus_fifo_tail]==bus_subaddr[`BUSREG])
770
                &&(bus_fifo_tail != bus_fifo_head)
771
                &&(bus_active[`BUSREG])
772
                &&(bus_new[`BUSREG] == bus_fifo_new[bus_fifo_tail]);
773 7 dgisselq
        always @(posedge i_clk)
774
        begin
775
                pre_ack <= 1'b0;
776
                o_ddr_dm <= 1'b0;
777
                if ((i_reset)||(reset_override))
778
                begin
779
                        bus_fifo_head <= 4'h0;
780
                        bus_fifo_tail <= 4'h0;
781
                        o_ddr_dm <= 1'b0;
782
                end else begin
783 10 dgisselq
                        if
784
        //((w_this_rw_move)||((s_pending)&&(s_match)&&(!pipe_stall)))
785
                                ((s_pending)&&(!pipe_stall))
786 7 dgisselq
                                bus_fifo_head <= bus_fifo_head + 4'h1;
787
 
788
                        o_ddr_dm <= (bus_active[`BUSREG])&&(!bus_read[`BUSREG]);
789
                        if (w_bus_fifo_read_next_transaction)
790
                        begin
791
                                bus_fifo_tail <= bus_fifo_tail + 4'h1;
792
                                pre_ack <= 1'b1;
793
                                o_ddr_dm <= 1'b0;
794
                        end
795
                end
796 9 dgisselq
                bus_fifo_data[bus_fifo_head] <= s_data;
797
                bus_fifo_sub[bus_fifo_head] <= s_sub;
798 7 dgisselq
                bus_fifo_new[bus_fifo_head] <= w_this_rw_move;
799
        end
800
 
801
 
802 3 dgisselq
        assign  o_ddr_cs_n  = cmd[`DDR_CSBIT];
803
        assign  o_ddr_ras_n = cmd[`DDR_RASBIT];
804
        assign  o_ddr_cas_n = cmd[`DDR_CASBIT];
805
        assign  o_ddr_we_n  = cmd[`DDR_WEBIT];
806 2 dgisselq
        assign  o_ddr_dqs   = drive_dqs;
807 3 dgisselq
        assign  o_ddr_addr  = cmd[(`DDR_ADDR_BITS-1):0];
808
        assign  o_ddr_ba    = cmd[(`DDR_BABITS+`DDR_ADDR_BITS-1):`DDR_ADDR_BITS];
809 7 dgisselq
        always @(posedge i_clk)
810
                o_ddr_data  <= bus_fifo_data[bus_fifo_tail];
811 3 dgisselq
        assign  w_precharge_all = (cmd[`DDR_CSBIT:`DDR_WEBIT]==`DDR_PRECHARGE)
812 2 dgisselq
                                &&(o_ddr_addr[10]); // 5 bits
813
 
814
        // Need to set o_wb_dqs high one clock prior to any read.
815
        // As per spec, ODT = 0 during reads
816 7 dgisselq
        assign  o_ddr_bus_oe = ~bus_read[`BUSNOW];
817 2 dgisselq
 
818 4 dgisselq
        // ODT must be in high impedence while reset_n=0, then it can be set
819
        // to low or high.
820
        assign  o_ddr_odt = o_ddr_bus_oe;
821 2 dgisselq
 
822 7 dgisselq
        always @(posedge i_clk)
823
                o_wb_ack <= pre_ack;
824
        always @(posedge i_clk)
825
                o_wb_data <= i_ddr_data;
826 4 dgisselq
 
827 2 dgisselq
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.