OpenCores
URL https://opencores.org/ocsvn/wbddr3/wbddr3/trunk

Subversion Repositories wbddr3

[/] [wbddr3/] [trunk/] [rtl/] [wbddrsdram.v] - Blame information for rev 12

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dgisselq
////////////////////////////////////////////////////////////////////////////////
2
//
3
// Filename:    wbddrsdram.v
4
//
5
// Project:     OpenArty, an entirely open SoC based upon the Arty platform
6
//
7
// Purpose:     
8
//
9
// Creator:     Dan Gisselquist, Ph.D.
10
//              Gisselquist Technology, LLC
11
//
12
////////////////////////////////////////////////////////////////////////////////
13
//
14
// Copyright (C) 2015-2016, Gisselquist Technology, LLC
15
//
16
// This program is free software (firmware): you can redistribute it and/or
17
// modify it under the terms of  the GNU General Public License as published
18
// by the Free Software Foundation, either version 3 of the License, or (at
19
// your option) any later version.
20
//
21
// This program is distributed in the hope that it will be useful, but WITHOUT
22
// ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or
23
// FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
24
// for more details.
25
//
26
// You should have received a copy of the GNU General Public License along
27
// with this program.  (It's in the $(ROOT)/doc directory, run make with no
28
// target there if the PDF file isn't present.)  If not, see
29
// <http://www.gnu.org/licenses/> for a copy.
30
//
31
// License:     GPL, v3, as defined and found on www.gnu.org,
32
//              http://www.gnu.org/licenses/gpl.html
33
//
34
//
35
////////////////////////////////////////////////////////////////////////////////
36
//
37
//
38
 
39
// Possible commands to the DDR3 memory.  These consist of settings for the
40
// bits: o_wb_cs_n, o_wb_ras_n, o_wb_cas_n, and o_wb_we_n, respectively.
41
`define DDR_MRSET       4'b0000
42
`define DDR_REFRESH     4'b0001
43
`define DDR_PRECHARGE   4'b0010
44
`define DDR_ACTIVATE    4'b0011
45
`define DDR_WRITE       4'b0100
46
`define DDR_READ        4'b0101
47 4 dgisselq
`define DDR_ZQS         4'b0110
48 2 dgisselq
`define DDR_NOOP        4'b0111
49
//`define       DDR_DESELECT    4'b1???
50
//
51
// In this controller, 24-bit commands tend to be passed around.  These 
52
// 'commands' are bit fields.  Here we specify the bits associated with
53
// the bit fields.
54 5 dgisselq
`define DDR_RSTDONE     24      // End the reset sequence?
55
`define DDR_RSTTIMER    23      // Does this reset command take multiple clocks?
56
`define DDR_RSTBIT      22      // Value to place on reset_n
57
`define DDR_CKEBIT      21      // Should this reset command set CKE?
58 7 dgisselq
//
59
// Refresh command bit fields
60
`define DDR_NEEDREFRESH 23
61
`define DDR_RFTIMER     22
62
`define DDR_RFBEGIN     21
63
//
64 5 dgisselq
`define DDR_CMDLEN      21
65
`define DDR_CSBIT       20
66
`define DDR_RASBIT      19
67
`define DDR_CASBIT      18
68
`define DDR_WEBIT       17
69
`define DDR_NOPTIMER    16      // Steal this from BA bits
70 2 dgisselq
`define DDR_BABITS      3       // BABITS are really from 18:16, they are 3 bits
71 3 dgisselq
`define DDR_ADDR_BITS   14
72 7 dgisselq
//
73
`define BUSREG  7
74
`define BUSNOW  8
75 2 dgisselq
 
76 3 dgisselq
module  wbddrsdram(i_clk, i_reset,
77 2 dgisselq
                i_wb_cyc, i_wb_stb, i_wb_we, i_wb_addr, i_wb_data,
78 3 dgisselq
                        o_wb_ack, o_wb_stall, o_wb_data,
79 2 dgisselq
                o_ddr_reset_n, o_ddr_cke,
80
                o_ddr_cs_n, o_ddr_ras_n, o_ddr_cas_n, o_ddr_we_n,
81 4 dgisselq
                o_ddr_dqs, o_ddr_dm, o_ddr_odt, o_ddr_bus_oe,
82 10 dgisselq
                o_ddr_addr, o_ddr_ba, o_ddr_data, i_ddr_data);
83 3 dgisselq
        parameter       CKREFI4 = 13'd6240, // 4 * 7.8us at 200 MHz clock
84 7 dgisselq
                        CKRFC = 320,
85 12 dgisselq
                        CKWR = 3,
86 4 dgisselq
                        CKXPR = CKRFC+5+2; // Clocks per tXPR timeout
87 3 dgisselq
        input                   i_clk, i_reset;
88 2 dgisselq
        // Wishbone inputs
89
        input                   i_wb_cyc, i_wb_stb, i_wb_we;
90
        input           [25:0]   i_wb_addr;
91
        input           [31:0]   i_wb_data;
92
        // Wishbone outputs
93
        output  reg             o_wb_ack;
94
        output  reg             o_wb_stall;
95
        output  reg     [31:0]   o_wb_data;
96
        // DDR3 RAM Controller
97 11 dgisselq
        output  reg             o_ddr_reset_n, o_ddr_cke;
98 2 dgisselq
        // Control outputs
99 11 dgisselq
        output  wire            o_ddr_cs_n, o_ddr_ras_n, o_ddr_cas_n,o_ddr_we_n;
100 2 dgisselq
        // DQS outputs:set to 3'b010 when data is active, 3'b100 (i.e. 2'bzz) ow
101 3 dgisselq
        output  wire            o_ddr_dqs;
102 11 dgisselq
        output  reg             o_ddr_dm;
103
        output  wire            o_ddr_odt, o_ddr_bus_oe;
104 2 dgisselq
        // Address outputs
105 11 dgisselq
        output  wire    [13:0]   o_ddr_addr;
106
        output  wire    [2:0]    o_ddr_ba;
107 2 dgisselq
        // And the data inputs and outputs
108
        output  reg     [31:0]   o_ddr_data;
109 7 dgisselq
        input           [31:0]   i_ddr_data;
110 2 dgisselq
 
111 3 dgisselq
        reg             drive_dqs;
112
 
113
        // The pending transaction
114
        reg     [31:0]   r_data;
115
        reg             r_pending, r_we;
116
        reg     [25:0]   r_addr;
117 5 dgisselq
        reg     [13:0]   r_row;
118 3 dgisselq
        reg     [2:0]    r_bank;
119
        reg     [9:0]    r_col;
120
        reg     [1:0]    r_sub;
121
        reg             r_move; // It was accepted, and can move to next stage
122
 
123 9 dgisselq
        // The pending transaction, one further into the pipeline.  This is
124
        // the stage where the read/write command is actually given to the
125
        // interface if we haven't stalled.
126
        reg     [31:0]   s_data;
127 10 dgisselq
        reg             s_pending, s_we; // , s_match;
128 9 dgisselq
        reg     [25:0]   s_addr;
129
        reg     [13:0]   s_row, s_nxt_row;
130
        reg     [2:0]    s_bank, s_nxt_bank;
131
        reg     [9:0]    s_col;
132
        reg     [1:0]    s_sub;
133
 
134 3 dgisselq
        // Can the pending transaction be satisfied with the current (ongoing)
135
        // transaction?
136 9 dgisselq
        reg             m_move, m_match, m_pending, m_we;
137 3 dgisselq
        reg     [25:0]   m_addr;
138 5 dgisselq
        reg     [13:0]   m_row;
139 3 dgisselq
        reg     [2:0]    m_bank;
140
        reg     [9:0]    m_col;
141
        reg     [1:0]    m_sub;
142
 
143
        // Can we preload the next bank?
144 5 dgisselq
        reg     [13:0]   r_nxt_row;
145 3 dgisselq
        reg     [2:0]    r_nxt_bank;
146 6 dgisselq
 
147
        reg     need_close_bank, need_close_this_bank,
148
                        last_close_bank, maybe_close_next_bank,
149
                        last_maybe_close,
150
                need_open_bank, last_open_bank, maybe_open_next_bank,
151
                        last_maybe_open,
152
                valid_bank, last_valid_bank;
153
        reg     [(`DDR_CMDLEN-1):0]      close_bank_cmd, activate_bank_cmd,
154
                                        maybe_close_cmd, maybe_open_cmd, rw_cmd;
155 9 dgisselq
        reg     [1:0]    rw_sub;
156
        reg             rw_we;
157 7 dgisselq
 
158
        wire    w_this_closing_bank, w_this_opening_bank,
159
                w_this_maybe_close, w_this_maybe_open,
160 9 dgisselq
                w_this_rw_move;
161 7 dgisselq
        reg     last_closing_bank, last_opening_bank;
162 12 dgisselq
        wire    w_need_close_this_bank, w_need_open_bank,
163
                w_r_valid, w_s_valid;
164 2 dgisselq
//
165
// tWTR = 7.5
166
// tRRD = 7.5
167
// tREFI= 7.8
168
// tFAW = 45
169
// tRTP = 7.5
170
// tCKE = 5.625
171
// tRFC = 160
172
// tRP  = 13.5
173
// tRAS = 36
174
// tRCD = 13.5
175
//
176
// RESET:
177
//      1. Hold o_reset_n = 1'b0; for 200 us, or 40,000 clocks (65536 perhaps?)
178
//              Hold cke low during this time as well
179
//              The clock should be free running into the chip during this time
180
//              Leave command in NOOP state: {cs,ras,cas,we} = 4'h7;
181
//              ODT must be held low
182
//      2. Hold cke low for another 500us, or 100,000 clocks
183
//      3. Raise CKE, continue outputting a NOOP for
184
//              tXPR, tDLLk, and tZQInit
185
//      4. Load MRS2, wait tMRD
186
//      4. Load MRS3, wait tMRD
187
//      4. Load MRS1, wait tMOD
188
// Before using the SDRAM, we'll need to program at least 3 of the mode
189
//      registers, if not all 4. 
190
//   tMOD clocks are required to program the mode registers, during which
191
//      time the RAM must be idle.
192
//
193
// NOOP: CS low, RAS, CAS, and WE high
194
 
195
//
196
// Reset logic should be simple, and is given as follows:
197
// note that it depends upon a ROM memory, reset_mem, and an address into that
198
// memory: reset_address.  Each memory location provides either a "command" to
199
// the DDR3 SDRAM, or a timer to wait until the next command.  Further, the
200
// timer commands indicate whether or not the command during the timer is to
201
// be set to idle, or whether the command is instead left as it was.
202 9 dgisselq
        reg             reset_override, reset_ztimer, maintenance_override;
203 6 dgisselq
        reg     [4:0]    reset_address;
204 9 dgisselq
        reg     [(`DDR_CMDLEN-1):0]      reset_cmd, cmd, refresh_cmd,
205
                                        maintenance_cmd;
206 5 dgisselq
        reg     [24:0]   reset_instruction;
207 3 dgisselq
        reg     [16:0]   reset_timer;
208
        initial reset_override = 1'b1;
209 6 dgisselq
        initial reset_address  = 5'h0;
210 2 dgisselq
        always @(posedge i_clk)
211
                if (i_reset)
212
                begin
213
                        reset_override <= 1'b1;
214 5 dgisselq
                        reset_cmd <= { `DDR_NOOP, reset_instruction[16:0]};
215
                end else if (reset_ztimer)
216
                begin
217
                        if (reset_instruction[`DDR_RSTDONE])
218
                                reset_override <= 1'b0;
219
                        reset_cmd <= reset_instruction[20:0];
220
                end
221 2 dgisselq
 
222 4 dgisselq
        initial reset_ztimer = 1'b0;    // Is the timer zero?
223 5 dgisselq
        initial reset_timer = 17'h02;
224 2 dgisselq
        always @(posedge i_clk)
225
                if (i_reset)
226
                begin
227
                        reset_ztimer <= 1'b0;
228 5 dgisselq
                        reset_timer <= 17'd2;
229 2 dgisselq
                end else if (!reset_ztimer)
230
                begin
231
                        reset_ztimer <= (reset_timer == 17'h01);
232
                        reset_timer <= reset_timer - 17'h01;
233
                end else if (reset_instruction[`DDR_RSTTIMER])
234
                begin
235
                        reset_ztimer <= 1'b0;
236
                        reset_timer <= reset_instruction[16:0];
237
                end
238
 
239 5 dgisselq
        wire    [16:0]   w_ckXPR = CKXPR, w_ckRST = 4, w_ckRP = 3,
240 4 dgisselq
                        w_ckRFC = CKRFC;
241 2 dgisselq
        always @(posedge i_clk)
242 4 dgisselq
                if (i_reset)
243 5 dgisselq
                        reset_instruction <= { 4'h4, `DDR_NOOP, 17'd40_000 };
244
                else if (reset_ztimer) case(reset_address) // RSTDONE, TIMER, CKE, ??
245 4 dgisselq
                // 1. Reset asserted (active low) for 200 us. (@200MHz)
246 6 dgisselq
                5'h0: reset_instruction <= { 4'h4, `DDR_NOOP, 17'd40_000 };
247 4 dgisselq
                // 2. Reset de-asserted, wait 500 us before asserting CKE
248 6 dgisselq
                5'h1: reset_instruction <= { 4'h6, `DDR_NOOP, 17'd100_000 };
249 4 dgisselq
                // 3. Assert CKE, wait minimum of Reset CKE Exit time
250 6 dgisselq
                5'h2: reset_instruction <= { 4'h7, `DDR_NOOP, w_ckXPR };
251 4 dgisselq
                // 4. Look MR2.  (1CK, no TIMER)
252 6 dgisselq
                5'h3: reset_instruction <= { 4'h3, `DDR_MRSET, 3'h2,
253 5 dgisselq
                        3'h0, 2'b00, 1'b0, 1'b0, 1'b1, 3'b0, 3'b0 }; // MRS2
254 4 dgisselq
                // 3. Wait 4 clocks (tMRD)
255 6 dgisselq
                5'h4: reset_instruction <= { 4'h7, `DDR_NOOP, 17'h02 };
256 4 dgisselq
                // 5. Set MR1
257 6 dgisselq
                5'h5: reset_instruction <= { 4'h3, `DDR_MRSET, 3'h1,
258 5 dgisselq
                        1'h0, // Reserved for Future Use (RFU)
259 4 dgisselq
                        1'b0, // Qoff - output buffer enabled
260
                        1'b1, // TDQS ... enabled
261
                        1'b0, // RFU
262
                        1'b0, // High order bit, Rtt_Nom (3'b011)
263
                        1'b0, // RFU
264
                        //
265
                        1'b0, // Disable write-leveling
266
                        1'b1, // Mid order bit of Rtt_Nom
267
                        1'b0, // High order bit of Output Drvr Impedence Ctrl
268
                        2'b0, // Additive latency = 0
269
                        1'b1, // Low order bit of Rtt_Nom
270
                        1'b1, // DIC set to 2'b01
271
                        1'b1 }; // MRS1, DLL enable
272
                // 7. Wait another 4 clocks
273 6 dgisselq
                5'h6: reset_instruction <= { 4'h7, `DDR_NOOP, 17'h02 };
274 4 dgisselq
                // 8. Send MRS0
275 6 dgisselq
                5'h7: reset_instruction <= { 4'h3, `DDR_MRSET, 3'h0,
276 5 dgisselq
                        1'b0, // Reserved for future use
277 4 dgisselq
                        1'b0, // PPD control, (slow exit(DLL off))
278
                        3'b1, // Write recovery for auto precharge
279
                        1'b0, // DLL Reset (No)
280
                        //
281
                        1'b0, // TM mode normal
282
                        3'b01, // High 3-bits, CAS latency (=4'b0010 = 4'd5)
283
                        1'b0, // Read burst type = nibble sequential
284
                        1'b0, // Low bit of cas latency
285
                        2'b0 }; // Burst length = 8 (Fixed)
286
                // 9. Wait tMOD, is max(12 clocks, 15ns)
287 6 dgisselq
                5'h8: reset_instruction <= { 4'h7, `DDR_NOOP, 17'h0a };
288 4 dgisselq
                // 10. Issue a ZQCL command to start ZQ calibration, A10 is high
289 6 dgisselq
                5'h9: reset_instruction <= { 4'h3, `DDR_ZQS, 6'h0, 1'b1, 10'h0};
290 4 dgisselq
                //11.Wait for both tDLLK and tZQinit completed, both are 512 cks
291 6 dgisselq
                5'ha: reset_instruction <= { 4'h7, `DDR_NOOP, 17'd512 };
292 4 dgisselq
                // 12. Precharge all command
293 6 dgisselq
                5'hb: reset_instruction <= { 4'h3, `DDR_PRECHARGE, 6'h0, 1'b1, 10'h0 };
294 4 dgisselq
                // 13. Wait for the precharge to complete
295 6 dgisselq
                5'hc: reset_instruction <= { 4'h7, `DDR_NOOP, w_ckRP };
296 4 dgisselq
                // 14. A single Auto Refresh commands
297 6 dgisselq
                5'hd: reset_instruction <= { 4'h3, `DDR_REFRESH, 17'h00 };
298 4 dgisselq
                // 15. Wait for the auto refresh to complete
299 6 dgisselq
                5'he: reset_instruction <= { 4'h7, `DDR_NOOP, w_ckRFC };
300 4 dgisselq
                // Two Auto Refresh commands
301 2 dgisselq
                default:
302 5 dgisselq
                        reset_instruction <={4'hb, `DDR_NOOP, 17'd00_000 };
303 2 dgisselq
                endcase
304
                // reset_instruction <= reset_mem[reset_address];
305
 
306 6 dgisselq
        initial reset_address = 5'h0;
307 2 dgisselq
        always @(posedge i_clk)
308
                if (i_reset)
309 6 dgisselq
                        reset_address <= 5'h1;
310
                else if ((reset_ztimer)&&(reset_override))
311
                        reset_address <= reset_address + 5'h1;
312 2 dgisselq
//
313
// initial reset_mem =
314
//       0.     !DONE, TIMER,RESET_N=0, CKE=0, CMD = NOOP, TIMER = 200us ( 40,000)
315
//       1.     !DONE, TIMER,RESET_N=1, CKE=0, CMD = NOOP, TIMER = 500us (100,000)
316
//       2.     !DONE, TIMER,RESET_N=1, CKE=1, CMD = NOOP, TIMER = (Look me up)
317
//       3.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = MODE, MRS
318
//       4.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = NOOP, TIMER = tMRS
319
//       5.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = MODE, MRS3
320
//       6.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = NOOP, TIMER = tMRS
321
//       7.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = MODE, MRS1
322
//       8.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = NOOP, TIMER = tMRS
323
//       9.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = MODE, MRS1
324
//      10.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = NOOP, TIMER = tMOD
325
//      11.     !DONE,!TIMER,RESET_N=1, CKE=1, (Pre-charge all)
326
//      12.     !DONE,!TIMER,RESET_N=1, CKE=1, (wait)
327
//      13.     !DONE,!TIMER,RESET_N=1, CKE=1, (Auto-refresh)
328
//      14.     !DONE,!TIMER,RESET_N=1, CKE=1, (Auto-refresh)
329
//      15.     !DONE,!TIMER,RESET_N=1, CKE=1, (wait)
330
 
331
 
332
//
333
//
334
// Let's keep track of any open banks.  There are 8 of them to keep track of.
335
//
336
//      A precharge requires 3 clocks at 200MHz to complete, 2 clocks at 100MHz.
337
//      
338
//
339
//
340 3 dgisselq
        reg     need_refresh;
341 2 dgisselq
 
342 3 dgisselq
        wire    w_precharge_all;
343
        reg     banks_are_closing, all_banks_closed;
344 6 dgisselq
        reg     [3:0]    bank_status     [0:7];
345
        reg     [13:0]   bank_address    [0:7];
346 12 dgisselq
        reg     [3:0]    bank_wr_ck      [0:7]; // tWTR
347
        reg             bank_wr_ckzro   [0:7]; // tWTR
348 6 dgisselq
 
349 12 dgisselq
        wire    [3:0]    write_recycle_clocks;
350
        assign  write_recycle_clocks = CKWR+4+4;
351
 
352 2 dgisselq
        always @(posedge i_clk)
353
        begin
354 6 dgisselq
                bank_status[0] <= { bank_status[0][2:0], bank_status[0][0] };
355
                bank_status[1] <= { bank_status[1][2:0], bank_status[1][0] };
356
                bank_status[2] <= { bank_status[2][2:0], bank_status[2][0] };
357
                bank_status[3] <= { bank_status[3][2:0], bank_status[3][0] };
358
                bank_status[4] <= { bank_status[4][2:0], bank_status[4][0] };
359
                bank_status[5] <= { bank_status[5][2:0], bank_status[5][0] };
360
                bank_status[6] <= { bank_status[6][2:0], bank_status[6][0] };
361
                bank_status[7] <= { bank_status[7][2:0], bank_status[7][0] };
362
                all_banks_closed <= (bank_status[0][2:0] == 3'b00)
363
                                        &&(bank_status[1][2:0] == 3'b00)
364
                                        &&(bank_status[2][2:0] == 3'b00)
365
                                        &&(bank_status[3][2:0] == 3'b00)
366
                                        &&(bank_status[4][2:0] == 3'b00)
367
                                        &&(bank_status[5][2:0] == 3'b00)
368
                                        &&(bank_status[6][2:0] == 3'b00)
369
                                        &&(bank_status[7][2:0] == 3'b00);
370 12 dgisselq
 
371
                bank_wr_ck[0] <= (|bank_wr_ck[0])?(bank_wr_ck[0]-4'h1):4'h0;
372
                bank_wr_ck[1] <= (|bank_wr_ck[1])?(bank_wr_ck[1]-4'h1):4'h0;
373
                bank_wr_ck[2] <= (|bank_wr_ck[2])?(bank_wr_ck[2]-4'h1):4'h0;
374
                bank_wr_ck[3] <= (|bank_wr_ck[3])?(bank_wr_ck[3]-4'h1):4'h0;
375
                bank_wr_ck[4] <= (|bank_wr_ck[4])?(bank_wr_ck[4]-4'h1):4'h0;
376
                bank_wr_ck[5] <= (|bank_wr_ck[5])?(bank_wr_ck[5]-4'h1):4'h0;
377
                bank_wr_ck[6] <= (|bank_wr_ck[6])?(bank_wr_ck[6]-4'h1):4'h0;
378
                bank_wr_ck[7] <= (|bank_wr_ck[7])?(bank_wr_ck[7]-4'h1):4'h0;
379
 
380
                bank_wr_ckzro[0] <= (bank_wr_ck[0][3:1]==3'b00);
381
                bank_wr_ckzro[1] <= (bank_wr_ck[1][3:1]==3'b00);
382
                bank_wr_ckzro[2] <= (bank_wr_ck[2][3:1]==3'b00);
383
                bank_wr_ckzro[3] <= (bank_wr_ck[3][3:1]==3'b00);
384
                bank_wr_ckzro[4] <= (bank_wr_ck[4][3:1]==3'b00);
385
                bank_wr_ckzro[5] <= (bank_wr_ck[5][3:1]==3'b00);
386
                bank_wr_ckzro[6] <= (bank_wr_ck[6][3:1]==3'b00);
387
                bank_wr_ckzro[7] <= (bank_wr_ck[7][3:1]==3'b00);
388
 
389
                if (w_this_rw_move)
390
                        bank_wr_ck[rw_cmd[16:14]] <= (rw_cmd[`DDR_WEBIT])? 4'h0
391
                                : write_recycle_clocks;
392
 
393 7 dgisselq
                if (reset_override)
394 2 dgisselq
                begin
395 6 dgisselq
                        bank_status[0][0] <= 1'b0;
396
                        bank_status[1][0] <= 1'b0;
397
                        bank_status[2][0] <= 1'b0;
398
                        bank_status[3][0] <= 1'b0;
399
                        bank_status[4][0] <= 1'b0;
400
                        bank_status[5][0] <= 1'b0;
401
                        bank_status[6][0] <= 1'b0;
402
                        bank_status[7][0] <= 1'b0;
403 2 dgisselq
                        banks_are_closing <= 1'b1;
404 7 dgisselq
                end else if ((need_refresh)||(w_precharge_all))
405
                begin
406
                        bank_status[0][0] <= 1'b0;
407
                        bank_status[1][0] <= 1'b0;
408
                        bank_status[2][0] <= 1'b0;
409
                        bank_status[3][0] <= 1'b0;
410
                        bank_status[4][0] <= 1'b0;
411
                        bank_status[5][0] <= 1'b0;
412
                        bank_status[6][0] <= 1'b0;
413
                        bank_status[7][0] <= 1'b0;
414
                        banks_are_closing <= 1'b1;
415 2 dgisselq
                end else if (need_close_bank)
416
                begin
417 6 dgisselq
                        bank_status[close_bank_cmd[16:14]]
418 8 dgisselq
                                <= { bank_status[close_bank_cmd[16:14]][2:0], 1'b0 };
419 6 dgisselq
                        // bank_status[close_bank_cmd[16:14]][0] <= 1'b0;
420 2 dgisselq
                end else if (need_open_bank)
421
                begin
422 6 dgisselq
                        bank_status[activate_bank_cmd[16:14]]
423
                                <= { bank_status[activate_bank_cmd[16:14]][2:0], 1'b1 };
424
                        // bank_status[activate_bank_cmd[16:14]][0] <= 1'b1;
425 2 dgisselq
                        all_banks_closed <= 1'b0;
426
                        banks_are_closing <= 1'b0;
427 6 dgisselq
                end else if ((valid_bank)&&(!r_move))
428
                        ;
429
                else if (maybe_close_next_bank)
430
                begin
431
                        bank_status[maybe_close_cmd[16:14]]
432 8 dgisselq
                                <= { bank_status[maybe_close_cmd[16:14]][2:0], 1'b0 };
433 6 dgisselq
                end else if (maybe_open_next_bank)
434
                begin
435
                        bank_status[maybe_open_cmd[16:14]]
436
                                <= { bank_status[maybe_open_cmd[16:14]][2:0], 1'b1 };
437
                        // bank_status[activate_bank_cmd[16:14]][0] <= 1'b1;
438
                        all_banks_closed <= 1'b0;
439
                        banks_are_closing <= 1'b0;
440 2 dgisselq
                end
441
        end
442
 
443
        always @(posedge i_clk)
444 3 dgisselq
                // if (cmd[22:19] == `DDR_ACTIVATE)
445 8 dgisselq
                if (w_this_opening_bank)
446 5 dgisselq
                        bank_address[activate_bank_cmd[16:14]]
447
                                <= activate_bank_cmd[13:0];
448 8 dgisselq
                else if (!w_this_maybe_open)
449
                        bank_address[maybe_open_cmd[16:14]]
450
                                <= maybe_open_cmd[13:0];
451 2 dgisselq
 
452
//
453
//
454
// Okay, let's investigate when we need to do a refresh.  Our plan will be to
455
// do 4 refreshes every tREFI*4 seconds.  tREFI = 7.8us, but its a parameter
456
// in the number of clocks so that we can handle both 100MHz and 200MHz clocks.
457
//
458
// Note that 160ns are needed between refresh commands (JEDEC, p172), or
459
// 320 clocks @200MHz, or equivalently 160 clocks @100MHz.  Thus to issue 4
460
// of these refresh cycles will require 4*320=1280 clocks@200 MHz.  After this
461
// time, no more refreshes will be needed for 6240 clocks.
462
//
463
// Let's think this through:
464
//      REFRESH_COST = (n*(320)+24)/(n*1560)
465
// 
466
//
467
//
468 7 dgisselq
        reg             refresh_ztimer;
469
        reg     [16:0]   refresh_counter;
470
        reg     [3:0]    refresh_addr;
471
        reg     [23:0]   refresh_instruction;
472 2 dgisselq
        always @(posedge i_clk)
473 7 dgisselq
                if (reset_override)
474
                        refresh_addr <= 4'hf;
475
                else if (refresh_ztimer)
476
                        refresh_addr <= refresh_addr + 1;
477
                else if (refresh_instruction[`DDR_RFBEGIN])
478
                        refresh_addr <= 4'h0;
479 6 dgisselq
 
480 2 dgisselq
        always @(posedge i_clk)
481 7 dgisselq
                if (reset_override)
482
                begin
483
                        refresh_ztimer <= 1'b1;
484
                        refresh_counter <= 17'd0;
485
                end else if (!refresh_ztimer)
486
                begin
487
                        refresh_ztimer <= (refresh_counter == 17'h1);
488
                        refresh_counter <= (refresh_counter - 17'h1);
489
                end else if (refresh_instruction[`DDR_RFTIMER])
490
                begin
491
                        refresh_ztimer <= 1'b0;
492
                        refresh_counter <= refresh_instruction[16:0];
493
                end
494 2 dgisselq
 
495 7 dgisselq
        wire    [16:0]   w_ckREFIn, w_ckREFRst;
496
        assign  w_ckREFIn[ 12: 0] = CKREFI4-5*CKRFC-2-10;
497
        assign  w_ckREFIn[ 16:13] = 4'h0;
498 8 dgisselq
        assign  w_ckREFRst[12: 0] = CKRFC-2-12;
499 7 dgisselq
        assign  w_ckREFRst[16:13] = 4'h0;
500
 
501 2 dgisselq
        always @(posedge i_clk)
502 7 dgisselq
                if (reset_override)
503 12 dgisselq
                        refresh_cmd <= { `DDR_NOOP, w_ckREFIn };
504 7 dgisselq
                else if (refresh_ztimer)
505
                        refresh_cmd <= refresh_instruction[20:0];
506 2 dgisselq
        always @(posedge i_clk)
507 7 dgisselq
                if (reset_override)
508
                        need_refresh <= 1'b0;
509
                else if (refresh_ztimer)
510
                        need_refresh <= refresh_instruction[`DDR_NEEDREFRESH];
511 2 dgisselq
 
512
        always @(posedge i_clk)
513 7 dgisselq
        if (refresh_ztimer)
514
                case(refresh_addr)//NEED-RFC, HAVE-TIMER, 
515
                4'h0: refresh_instruction <= { 3'h2, `DDR_NOOP, w_ckREFIn };
516
                // 17'd10 = time to complete write, plus write recovery time
517
                //              minus two (cause we can't count zero or one)
518
                //      = WL+4+tWR-2 = 10
519
                //      = 5+4+3-2 = 10
520
                4'h1: refresh_instruction <= { 3'h6, `DDR_NOOP, 17'd10 };
521
                4'h2: refresh_instruction <= { 3'h4, `DDR_PRECHARGE, 17'h0400 };
522
                4'h3: refresh_instruction <= { 3'h6, `DDR_NOOP, 17'd2 };
523
                4'h4: refresh_instruction <= { 3'h4, `DDR_REFRESH, 17'h00 };
524
                4'h5: refresh_instruction <= { 3'h6, `DDR_NOOP, w_ckRFC };
525
                4'h6: refresh_instruction <= { 3'h4, `DDR_REFRESH, 17'h00 };
526
                4'h7: refresh_instruction <= { 3'h6, `DDR_NOOP, w_ckRFC };
527
                4'h8: refresh_instruction <= { 3'h4, `DDR_REFRESH, 17'h00 };
528
                4'h9: refresh_instruction <= { 3'h6, `DDR_NOOP, w_ckRFC };
529
                4'ha: refresh_instruction <= { 3'h4, `DDR_REFRESH, 17'h00 };
530
                4'hb: refresh_instruction <= { 3'h6, `DDR_NOOP, w_ckRFC };
531 8 dgisselq
                4'hc: refresh_instruction <= { 3'h2, `DDR_NOOP, w_ckREFRst };
532 7 dgisselq
                default:
533
                        refresh_instruction <= { 3'h1, `DDR_NOOP, 17'h00 };
534
                endcase
535 2 dgisselq
 
536
 
537
//
538
//
539
//      Let's track: when will our bus be active?  When will we be reading or
540
//      writing?
541
//
542
//
543 7 dgisselq
        reg     [`BUSNOW:0]      bus_active, bus_read, bus_new;
544
        reg     [1:0]    bus_subaddr     [`BUSNOW:0];
545 3 dgisselq
        initial bus_active = 0;
546 2 dgisselq
        always @(posedge i_clk)
547
        begin
548 7 dgisselq
                bus_active[`BUSNOW:0] <= { bus_active[(`BUSNOW-1):0], 1'b0 };
549
                bus_read[`BUSNOW:0]   <= { bus_read[(`BUSNOW-1):0], 1'b0 }; // Drive the d-bus?
550 9 dgisselq
                // Is this a new command?  i.e., the start of a transaction?
551
                bus_new[`BUSNOW:0]   <= { bus_new[(`BUSNOW-1):0], 1'b0 };
552 3 dgisselq
                //bus_mask[8:0] <= { bus_mask[7:0], 1'b1 }; // Write this value?
553 2 dgisselq
                bus_subaddr[8]  <= bus_subaddr[7];
554
                bus_subaddr[7]  <= bus_subaddr[6];
555
                bus_subaddr[6]  <= bus_subaddr[5];
556
                bus_subaddr[5]  <= bus_subaddr[4];
557
                bus_subaddr[4]  <= bus_subaddr[3];
558
                bus_subaddr[3]  <= bus_subaddr[2];
559
                bus_subaddr[2]  <= bus_subaddr[1];
560
                bus_subaddr[1]  <= bus_subaddr[0];
561
                bus_subaddr[0]  <= 2'h3;
562 7 dgisselq
                if (w_this_rw_move)
563 2 dgisselq
                begin
564
                        bus_active[3:0]<= 4'hf; // Once per clock
565
                        bus_read[3:0]  <= 4'hf; // These will be reads
566
                        bus_subaddr[3] <= 2'h0;
567
                        bus_subaddr[2] <= 2'h1;
568
                        bus_subaddr[1] <= 2'h2;
569 9 dgisselq
                        bus_new[{ 2'b0, rw_sub }] <= 1'b1;
570 4 dgisselq
 
571 9 dgisselq
                        bus_read[3:0] <= (rw_we)? 4'h0:4'hf;
572 2 dgisselq
                end
573
        end
574
 
575
        always @(posedge i_clk)
576 7 dgisselq
                drive_dqs <= (~bus_read[`BUSREG])&&(|bus_active[`BUSREG]);
577 2 dgisselq
 
578
//
579
//
580
// Now, let's see, can we issue a read command?
581
//
582
//
583 9 dgisselq
        wire    w_s_match;
584
        assign  w_s_match = (s_pending)&&(r_pending)&&(r_we == s_we)
585
                                &&(r_row == s_row)&&(r_bank == s_bank)
586
                                &&(r_col == s_col)
587
                                &&(r_sub > s_sub);
588
        reg     pipe_stall;
589 2 dgisselq
        always @(posedge i_clk)
590
        begin
591 9 dgisselq
                r_pending <= (i_wb_stb)&&(~o_wb_stall)
592
                                ||(r_pending)&&(pipe_stall);
593
                if (~pipe_stall)
594
                        s_pending <= r_pending;
595
                if (~pipe_stall)
596 2 dgisselq
                begin
597 9 dgisselq
                        pipe_stall <= (r_pending)&&(((!w_r_valid)||(valid_bank))&&(!w_s_match));
598
                        o_wb_stall <= (r_pending)&&(((!w_r_valid)||(valid_bank))&&(!w_s_match));
599
                end else begin // if (pipe_stall)
600
                        pipe_stall <= (s_pending)&&((!w_s_valid)||(valid_bank)||(r_move)||(last_valid_bank));
601
                        o_wb_stall <= (s_pending)&&((!w_s_valid)||(valid_bank)||(r_move)||(last_valid_bank));
602
                end
603
                if (need_refresh)
604 2 dgisselq
                        o_wb_stall <= 1'b1;
605
 
606 9 dgisselq
                if (~pipe_stall)
607 2 dgisselq
                begin
608
                        r_we   <= i_wb_we;
609
                        r_addr <= i_wb_addr;
610
                        r_data <= i_wb_data;
611 5 dgisselq
                        r_row  <= i_wb_addr[25:12];
612
                        r_bank <= i_wb_addr[11:9];
613
                        r_col  <= { i_wb_addr[8:2], 3'b000 }; // 9:2
614 2 dgisselq
                        r_sub  <= i_wb_addr[1:0];
615
 
616
                        // pre-emptive work
617 6 dgisselq
                        r_nxt_row  <= (i_wb_addr[11:9]==3'h7)?i_wb_addr[25:12]+14'h1:i_wb_addr[25:12];
618 5 dgisselq
                        r_nxt_bank <= i_wb_addr[11:9]+3'h1;
619 2 dgisselq
                end
620 9 dgisselq
 
621
                if (~pipe_stall)
622
                begin
623
                        // Moving one down the pipeline
624
                        s_we   <= r_we;
625
                        s_addr <= r_addr;
626
                        s_data <= r_data;
627
                        s_row  <= r_row;
628
                        s_bank <= r_bank;
629
                        s_col  <= r_col;
630
                        s_sub  <= r_sub;
631
 
632
                        // pre-emptive work
633
                        s_nxt_row  <= r_nxt_row;
634
                        s_nxt_bank <= r_nxt_bank;
635
 
636 10 dgisselq
                        // s_match <= w_s_match;
637 9 dgisselq
                end
638 2 dgisselq
        end
639
 
640 6 dgisselq
        assign  w_need_close_this_bank = (r_pending)&&(bank_status[r_bank][0])
641 12 dgisselq
                        &&(bank_wr_ckzro[r_bank])
642 9 dgisselq
                        &&(r_row != bank_address[r_bank])
643
                        ||(pipe_stall)&&(s_pending)&&(bank_status[s_bank][0])
644
                                &&(s_row != bank_address[s_bank]);
645
        assign  w_need_open_bank = (r_pending)&&(bank_status[r_bank][1:0]==2'b00)
646
                        ||(pipe_stall)&&(s_pending)&&(bank_status[s_bank][1:0]==2'b00);
647
        assign  w_r_valid = (!need_refresh)&&(r_pending)
648
                        &&(bank_status[r_bank][3])
649
                        &&(bank_address[r_bank]==r_row)
650 12 dgisselq
                        &&((r_we)||(bank_wr_ckzro[r_bank]))
651 9 dgisselq
                        &&(!bus_active[0]);
652
        assign  w_s_valid = (!need_refresh)&&(s_pending)
653
                        &&(bank_status[s_bank][3])
654
                        &&(bank_address[s_bank]==s_row)
655 12 dgisselq
                        &&((r_we)||(bank_wr_ckzro[s_bank]))
656 9 dgisselq
                        &&(!bus_active[0]);
657 3 dgisselq
 
658 2 dgisselq
        always @(posedge i_clk)
659
        begin
660 6 dgisselq
                need_close_bank <= (w_need_close_this_bank)
661 10 dgisselq
                                &&(!need_open_bank)
662
                                &&(!need_close_bank)
663 6 dgisselq
                                &&(!w_this_closing_bank)&&(!last_closing_bank);
664 2 dgisselq
 
665
                maybe_close_next_bank <= (r_pending)
666 6 dgisselq
                        &&(bank_status[r_nxt_bank][0])
667 12 dgisselq
                        &&(bank_wr_ckzro[r_nxt_bank])
668 2 dgisselq
                        &&(r_nxt_row != bank_address[r_nxt_bank])
669 6 dgisselq
                        &&(!w_this_maybe_close)&&(!last_maybe_close);
670 2 dgisselq
 
671 6 dgisselq
                close_bank_cmd <= { `DDR_PRECHARGE, r_bank, r_row[13:11], 1'b0, r_row[9:0] };
672
                maybe_close_cmd <= { `DDR_PRECHARGE, r_nxt_bank, r_nxt_row[13:11], 1'b0, r_nxt_row[9:0] };
673 2 dgisselq
 
674
 
675 6 dgisselq
                need_open_bank <= (w_need_open_bank)
676
                                &&(!w_this_opening_bank)&&(!last_opening_bank);
677
                last_open_bank <= (w_this_opening_bank);
678 2 dgisselq
 
679
                maybe_open_next_bank <= (r_pending)
680 6 dgisselq
                        &&(bank_status[r_bank][0] == 1'b1)
681
                        &&(bank_status[r_nxt_bank][1:0] == 2'b00)
682
                        &&(!w_this_maybe_open)&&(!last_maybe_open);
683 2 dgisselq
 
684 6 dgisselq
                activate_bank_cmd<= { `DDR_ACTIVATE,  r_bank,     r_row[13:0] };
685
                maybe_open_cmd <= { `DDR_ACTIVATE,r_nxt_bank, r_nxt_row[13:0] };
686 2 dgisselq
 
687
 
688
 
689 9 dgisselq
                valid_bank <= ((w_r_valid)||(pipe_stall)&&(w_s_valid))
690
                                &&(!last_valid_bank)&&(!r_move);
691 6 dgisselq
                last_valid_bank <= r_move;
692 2 dgisselq
 
693 9 dgisselq
                if ((s_pending)&&(pipe_stall))
694
                        rw_cmd[`DDR_CSBIT:`DDR_WEBIT] <= (s_we)?`DDR_WRITE:`DDR_READ;
695
                else if (r_pending)
696
                        rw_cmd[`DDR_CSBIT:`DDR_WEBIT] <= (r_we)?`DDR_WRITE:`DDR_READ;
697
                else
698
                        rw_cmd[`DDR_CSBIT:`DDR_WEBIT] <= `DDR_NOOP;
699
                if ((s_pending)&&(pipe_stall))
700
                        rw_cmd[`DDR_WEBIT-1:0] <= { s_bank, 3'h0, 1'b0, s_col };
701
                else
702
                        rw_cmd[`DDR_WEBIT-1:0] <= { r_bank, 3'h0, 1'b0, r_col };
703
                if ((s_pending)&&(pipe_stall))
704
                        rw_sub <= 2'b11 - s_sub;
705
                else
706
                        rw_sub <= 2'b11 - r_sub;
707
                if ((s_pending)&&(pipe_stall))
708
                        rw_we <= s_we;
709
                else
710
                        rw_we <= r_we;
711
 
712 2 dgisselq
        end
713
 
714
//
715
//
716
// Okay, let's look at the last assignment in our chain.  It should look
717
// something like:
718
        always @(posedge i_clk)
719 4 dgisselq
                if (i_reset)
720
                        o_ddr_reset_n <= 1'b0;
721
                else if (reset_ztimer)
722
                        o_ddr_reset_n <= reset_instruction[`DDR_RSTBIT];
723 2 dgisselq
        always @(posedge i_clk)
724 4 dgisselq
                if (i_reset)
725
                        o_ddr_cke <= 1'b0;
726
                else if (reset_ztimer)
727
                        o_ddr_cke <= reset_instruction[`DDR_CKEBIT];
728 6 dgisselq
 
729 9 dgisselq
        always @(posedge i_clk)
730
                if (i_reset)
731
                        maintenance_override <= 1'b1;
732
                else
733
                        maintenance_override <= (reset_override)||(need_refresh);
734 7 dgisselq
 
735 9 dgisselq
        initial maintenance_cmd = { `DDR_NOOP, 17'h00 };
736
        always @(posedge i_clk)
737
                if (i_reset)
738
                        maintenance_cmd <= { `DDR_NOOP, 17'h00 };
739
                else
740
                        maintenance_cmd <= (reset_override)?reset_cmd:refresh_cmd;
741
 
742
        assign  w_this_closing_bank = (!maintenance_override)
743 6 dgisselq
                                &&(need_close_bank);
744 9 dgisselq
        assign  w_this_opening_bank = (!maintenance_override)
745 6 dgisselq
                                &&(!need_close_bank)&&(need_open_bank);
746 9 dgisselq
        assign  w_this_rw_move = (!maintenance_override)
747 7 dgisselq
                                &&(!need_close_bank)&&(!need_open_bank)
748
                                &&(valid_bank)&&(!r_move);
749 9 dgisselq
        assign  w_this_maybe_close = (!maintenance_override)
750 6 dgisselq
                                &&(!need_close_bank)&&(!need_open_bank)
751
                                &&((!valid_bank)||(r_move))
752
                                &&(maybe_close_next_bank);
753 9 dgisselq
        assign  w_this_maybe_open = (!maintenance_override)
754 6 dgisselq
                                &&(!need_close_bank)&&(!need_open_bank)
755
                                &&((!valid_bank)||(r_move))
756
                                &&(!maybe_close_next_bank)
757
                                &&(maybe_open_next_bank);
758 2 dgisselq
        always @(posedge i_clk)
759
        begin
760 6 dgisselq
                last_opening_bank <= 1'b0;
761
                last_closing_bank <= 1'b0;
762
                last_maybe_open   <= 1'b0;
763
                last_maybe_close  <= 1'b0;
764 2 dgisselq
                r_move <= 1'b0;
765 9 dgisselq
                if (maintenance_override) // Command from either reset or
766
                        cmd <= maintenance_cmd; // refresh logic
767
                else if (need_close_bank)
768 2 dgisselq
                begin
769
                        cmd <= close_bank_cmd;
770 6 dgisselq
                        last_closing_bank <= 1'b1;
771
                end else if (need_open_bank)
772
                begin
773 2 dgisselq
                        cmd <= activate_bank_cmd;
774 6 dgisselq
                        last_opening_bank <= 1'b1;
775
                end else if ((valid_bank)&&(!r_move))
776 2 dgisselq
                begin
777
                        cmd <= rw_cmd;
778
                        r_move <= 1'b1;
779 6 dgisselq
                end else if (maybe_close_next_bank)
780
                begin
781
                        cmd <= maybe_close_cmd;
782
                        last_maybe_close <= 1'b1;
783
                end else if (maybe_open_next_bank)
784
                begin
785
                        cmd <= maybe_open_cmd;
786
                        last_maybe_open <= 1'b1;
787 2 dgisselq
                end else
788 4 dgisselq
                        cmd <= { `DDR_NOOP, rw_cmd[(`DDR_WEBIT-1):0] };
789 2 dgisselq
        end
790
 
791 7 dgisselq
`define LGFIFOLN        4
792
`define FIFOLEN         16
793
        reg     [(`LGFIFOLN-1):0]        bus_fifo_head, bus_fifo_tail;
794
        reg     [31:0]   bus_fifo_data   [0:(`FIFOLEN-1)];
795
        reg     [1:0]    bus_fifo_sub    [0:(`FIFOLEN-1)];
796
        reg             bus_fifo_new    [0:(`FIFOLEN-1)];
797
        reg             pre_ack;
798 3 dgisselq
 
799 7 dgisselq
        // The bus R/W FIFO
800
        wire    w_bus_fifo_read_next_transaction;
801 9 dgisselq
        assign  w_bus_fifo_read_next_transaction =
802
                (bus_fifo_sub[bus_fifo_tail]==bus_subaddr[`BUSREG])
803
                &&(bus_fifo_tail != bus_fifo_head)
804
                &&(bus_active[`BUSREG])
805
                &&(bus_new[`BUSREG] == bus_fifo_new[bus_fifo_tail]);
806 7 dgisselq
        always @(posedge i_clk)
807
        begin
808
                pre_ack <= 1'b0;
809
                o_ddr_dm <= 1'b0;
810
                if ((i_reset)||(reset_override))
811
                begin
812
                        bus_fifo_head <= 4'h0;
813
                        bus_fifo_tail <= 4'h0;
814
                        o_ddr_dm <= 1'b0;
815
                end else begin
816 10 dgisselq
                        if
817
        //((w_this_rw_move)||((s_pending)&&(s_match)&&(!pipe_stall)))
818
                                ((s_pending)&&(!pipe_stall))
819 7 dgisselq
                                bus_fifo_head <= bus_fifo_head + 4'h1;
820
 
821
                        o_ddr_dm <= (bus_active[`BUSREG])&&(!bus_read[`BUSREG]);
822
                        if (w_bus_fifo_read_next_transaction)
823
                        begin
824
                                bus_fifo_tail <= bus_fifo_tail + 4'h1;
825
                                pre_ack <= 1'b1;
826
                                o_ddr_dm <= 1'b0;
827
                        end
828
                end
829 9 dgisselq
                bus_fifo_data[bus_fifo_head] <= s_data;
830
                bus_fifo_sub[bus_fifo_head] <= s_sub;
831 7 dgisselq
                bus_fifo_new[bus_fifo_head] <= w_this_rw_move;
832
        end
833
 
834
 
835 3 dgisselq
        assign  o_ddr_cs_n  = cmd[`DDR_CSBIT];
836
        assign  o_ddr_ras_n = cmd[`DDR_RASBIT];
837
        assign  o_ddr_cas_n = cmd[`DDR_CASBIT];
838
        assign  o_ddr_we_n  = cmd[`DDR_WEBIT];
839 2 dgisselq
        assign  o_ddr_dqs   = drive_dqs;
840 3 dgisselq
        assign  o_ddr_addr  = cmd[(`DDR_ADDR_BITS-1):0];
841
        assign  o_ddr_ba    = cmd[(`DDR_BABITS+`DDR_ADDR_BITS-1):`DDR_ADDR_BITS];
842 7 dgisselq
        always @(posedge i_clk)
843
                o_ddr_data  <= bus_fifo_data[bus_fifo_tail];
844 3 dgisselq
        assign  w_precharge_all = (cmd[`DDR_CSBIT:`DDR_WEBIT]==`DDR_PRECHARGE)
845 2 dgisselq
                                &&(o_ddr_addr[10]); // 5 bits
846
 
847
        // Need to set o_wb_dqs high one clock prior to any read.
848
        // As per spec, ODT = 0 during reads
849 7 dgisselq
        assign  o_ddr_bus_oe = ~bus_read[`BUSNOW];
850 2 dgisselq
 
851 4 dgisselq
        // ODT must be in high impedence while reset_n=0, then it can be set
852
        // to low or high.
853
        assign  o_ddr_odt = o_ddr_bus_oe;
854 2 dgisselq
 
855 7 dgisselq
        always @(posedge i_clk)
856
                o_wb_ack <= pre_ack;
857
        always @(posedge i_clk)
858
                o_wb_data <= i_ddr_data;
859 4 dgisselq
 
860 2 dgisselq
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.