OpenCores
URL https://opencores.org/ocsvn/wbddr3/wbddr3/trunk

Subversion Repositories wbddr3

[/] [wbddr3/] [trunk/] [rtl/] [wbddrsdram.v] - Blame information for rev 13

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dgisselq
////////////////////////////////////////////////////////////////////////////////
2
//
3
// Filename:    wbddrsdram.v
4
//
5
// Project:     OpenArty, an entirely open SoC based upon the Arty platform
6
//
7
// Purpose:     
8
//
9
// Creator:     Dan Gisselquist, Ph.D.
10
//              Gisselquist Technology, LLC
11
//
12
////////////////////////////////////////////////////////////////////////////////
13
//
14
// Copyright (C) 2015-2016, Gisselquist Technology, LLC
15
//
16
// This program is free software (firmware): you can redistribute it and/or
17
// modify it under the terms of  the GNU General Public License as published
18
// by the Free Software Foundation, either version 3 of the License, or (at
19
// your option) any later version.
20
//
21
// This program is distributed in the hope that it will be useful, but WITHOUT
22
// ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or
23
// FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
24
// for more details.
25
//
26
// You should have received a copy of the GNU General Public License along
27
// with this program.  (It's in the $(ROOT)/doc directory, run make with no
28
// target there if the PDF file isn't present.)  If not, see
29
// <http://www.gnu.org/licenses/> for a copy.
30
//
31
// License:     GPL, v3, as defined and found on www.gnu.org,
32
//              http://www.gnu.org/licenses/gpl.html
33
//
34
//
35
////////////////////////////////////////////////////////////////////////////////
36
//
37
//
38
 
39
// Possible commands to the DDR3 memory.  These consist of settings for the
40
// bits: o_wb_cs_n, o_wb_ras_n, o_wb_cas_n, and o_wb_we_n, respectively.
41
`define DDR_MRSET       4'b0000
42
`define DDR_REFRESH     4'b0001
43
`define DDR_PRECHARGE   4'b0010
44
`define DDR_ACTIVATE    4'b0011
45
`define DDR_WRITE       4'b0100
46
`define DDR_READ        4'b0101
47 4 dgisselq
`define DDR_ZQS         4'b0110
48 2 dgisselq
`define DDR_NOOP        4'b0111
49
//`define       DDR_DESELECT    4'b1???
50
//
51
// In this controller, 24-bit commands tend to be passed around.  These 
52
// 'commands' are bit fields.  Here we specify the bits associated with
53
// the bit fields.
54 5 dgisselq
`define DDR_RSTDONE     24      // End the reset sequence?
55
`define DDR_RSTTIMER    23      // Does this reset command take multiple clocks?
56
`define DDR_RSTBIT      22      // Value to place on reset_n
57
`define DDR_CKEBIT      21      // Should this reset command set CKE?
58 7 dgisselq
//
59
// Refresh command bit fields
60
`define DDR_NEEDREFRESH 23
61
`define DDR_RFTIMER     22
62
`define DDR_RFBEGIN     21
63
//
64 5 dgisselq
`define DDR_CMDLEN      21
65
`define DDR_CSBIT       20
66
`define DDR_RASBIT      19
67
`define DDR_CASBIT      18
68
`define DDR_WEBIT       17
69
`define DDR_NOPTIMER    16      // Steal this from BA bits
70 2 dgisselq
`define DDR_BABITS      3       // BABITS are really from 18:16, they are 3 bits
71 3 dgisselq
`define DDR_ADDR_BITS   14
72 7 dgisselq
//
73
`define BUSREG  7
74
`define BUSNOW  8
75 2 dgisselq
 
76 3 dgisselq
module  wbddrsdram(i_clk, i_reset,
77 2 dgisselq
                i_wb_cyc, i_wb_stb, i_wb_we, i_wb_addr, i_wb_data,
78 3 dgisselq
                        o_wb_ack, o_wb_stall, o_wb_data,
79 2 dgisselq
                o_ddr_reset_n, o_ddr_cke,
80
                o_ddr_cs_n, o_ddr_ras_n, o_ddr_cas_n, o_ddr_we_n,
81 4 dgisselq
                o_ddr_dqs, o_ddr_dm, o_ddr_odt, o_ddr_bus_oe,
82 10 dgisselq
                o_ddr_addr, o_ddr_ba, o_ddr_data, i_ddr_data);
83 13 dgisselq
        parameter       CKRBITS = 13, // Bits in CKREFI4
84
                        CKREFI4 = 13'd6240, // 4 * 7.8us at 200 MHz clock
85 7 dgisselq
                        CKRFC = 320,
86 12 dgisselq
                        CKWR = 3,
87 4 dgisselq
                        CKXPR = CKRFC+5+2; // Clocks per tXPR timeout
88 3 dgisselq
        input                   i_clk, i_reset;
89 2 dgisselq
        // Wishbone inputs
90
        input                   i_wb_cyc, i_wb_stb, i_wb_we;
91
        input           [25:0]   i_wb_addr;
92
        input           [31:0]   i_wb_data;
93
        // Wishbone outputs
94
        output  reg             o_wb_ack;
95
        output  reg             o_wb_stall;
96
        output  reg     [31:0]   o_wb_data;
97
        // DDR3 RAM Controller
98 11 dgisselq
        output  reg             o_ddr_reset_n, o_ddr_cke;
99 2 dgisselq
        // Control outputs
100 11 dgisselq
        output  wire            o_ddr_cs_n, o_ddr_ras_n, o_ddr_cas_n,o_ddr_we_n;
101 2 dgisselq
        // DQS outputs:set to 3'b010 when data is active, 3'b100 (i.e. 2'bzz) ow
102 3 dgisselq
        output  wire            o_ddr_dqs;
103 11 dgisselq
        output  reg             o_ddr_dm;
104 13 dgisselq
        output  reg             o_ddr_odt;
105
        output  wire            o_ddr_bus_oe;
106 2 dgisselq
        // Address outputs
107 11 dgisselq
        output  wire    [13:0]   o_ddr_addr;
108
        output  wire    [2:0]    o_ddr_ba;
109 2 dgisselq
        // And the data inputs and outputs
110
        output  reg     [31:0]   o_ddr_data;
111 7 dgisselq
        input           [31:0]   i_ddr_data;
112 2 dgisselq
 
113 3 dgisselq
        reg             drive_dqs;
114
 
115
        // The pending transaction
116
        reg     [31:0]   r_data;
117
        reg             r_pending, r_we;
118
        reg     [25:0]   r_addr;
119 5 dgisselq
        reg     [13:0]   r_row;
120 3 dgisselq
        reg     [2:0]    r_bank;
121
        reg     [9:0]    r_col;
122
        reg     [1:0]    r_sub;
123
        reg             r_move; // It was accepted, and can move to next stage
124
 
125 9 dgisselq
        // The pending transaction, one further into the pipeline.  This is
126
        // the stage where the read/write command is actually given to the
127
        // interface if we haven't stalled.
128
        reg     [31:0]   s_data;
129 10 dgisselq
        reg             s_pending, s_we; // , s_match;
130 9 dgisselq
        reg     [25:0]   s_addr;
131
        reg     [13:0]   s_row, s_nxt_row;
132
        reg     [2:0]    s_bank, s_nxt_bank;
133
        reg     [9:0]    s_col;
134
        reg     [1:0]    s_sub;
135
 
136 3 dgisselq
        // Can the pending transaction be satisfied with the current (ongoing)
137
        // transaction?
138 9 dgisselq
        reg             m_move, m_match, m_pending, m_we;
139 3 dgisselq
        reg     [25:0]   m_addr;
140 5 dgisselq
        reg     [13:0]   m_row;
141 3 dgisselq
        reg     [2:0]    m_bank;
142
        reg     [9:0]    m_col;
143
        reg     [1:0]    m_sub;
144
 
145
        // Can we preload the next bank?
146 5 dgisselq
        reg     [13:0]   r_nxt_row;
147 3 dgisselq
        reg     [2:0]    r_nxt_bank;
148 6 dgisselq
 
149
        reg     need_close_bank, need_close_this_bank,
150
                        last_close_bank, maybe_close_next_bank,
151
                        last_maybe_close,
152
                need_open_bank, last_open_bank, maybe_open_next_bank,
153
                        last_maybe_open,
154
                valid_bank, last_valid_bank;
155
        reg     [(`DDR_CMDLEN-1):0]      close_bank_cmd, activate_bank_cmd,
156
                                        maybe_close_cmd, maybe_open_cmd, rw_cmd;
157 9 dgisselq
        reg     [1:0]    rw_sub;
158
        reg             rw_we;
159 7 dgisselq
 
160
        wire    w_this_closing_bank, w_this_opening_bank,
161
                w_this_maybe_close, w_this_maybe_open,
162 9 dgisselq
                w_this_rw_move;
163 7 dgisselq
        reg     last_closing_bank, last_opening_bank;
164 12 dgisselq
        wire    w_need_close_this_bank, w_need_open_bank,
165
                w_r_valid, w_s_valid;
166 2 dgisselq
//
167
// tWTR = 7.5
168
// tRRD = 7.5
169
// tREFI= 7.8
170
// tFAW = 45
171
// tRTP = 7.5
172
// tCKE = 5.625
173
// tRFC = 160
174
// tRP  = 13.5
175
// tRAS = 36
176
// tRCD = 13.5
177
//
178
// RESET:
179
//      1. Hold o_reset_n = 1'b0; for 200 us, or 40,000 clocks (65536 perhaps?)
180
//              Hold cke low during this time as well
181
//              The clock should be free running into the chip during this time
182
//              Leave command in NOOP state: {cs,ras,cas,we} = 4'h7;
183
//              ODT must be held low
184
//      2. Hold cke low for another 500us, or 100,000 clocks
185
//      3. Raise CKE, continue outputting a NOOP for
186
//              tXPR, tDLLk, and tZQInit
187
//      4. Load MRS2, wait tMRD
188
//      4. Load MRS3, wait tMRD
189
//      4. Load MRS1, wait tMOD
190
// Before using the SDRAM, we'll need to program at least 3 of the mode
191
//      registers, if not all 4. 
192
//   tMOD clocks are required to program the mode registers, during which
193
//      time the RAM must be idle.
194
//
195
// NOOP: CS low, RAS, CAS, and WE high
196
 
197
//
198
// Reset logic should be simple, and is given as follows:
199
// note that it depends upon a ROM memory, reset_mem, and an address into that
200
// memory: reset_address.  Each memory location provides either a "command" to
201
// the DDR3 SDRAM, or a timer to wait until the next command.  Further, the
202
// timer commands indicate whether or not the command during the timer is to
203
// be set to idle, or whether the command is instead left as it was.
204 9 dgisselq
        reg             reset_override, reset_ztimer, maintenance_override;
205 6 dgisselq
        reg     [4:0]    reset_address;
206 9 dgisselq
        reg     [(`DDR_CMDLEN-1):0]      reset_cmd, cmd, refresh_cmd,
207
                                        maintenance_cmd;
208 5 dgisselq
        reg     [24:0]   reset_instruction;
209 3 dgisselq
        reg     [16:0]   reset_timer;
210
        initial reset_override = 1'b1;
211 6 dgisselq
        initial reset_address  = 5'h0;
212 2 dgisselq
        always @(posedge i_clk)
213
                if (i_reset)
214
                begin
215
                        reset_override <= 1'b1;
216 5 dgisselq
                        reset_cmd <= { `DDR_NOOP, reset_instruction[16:0]};
217
                end else if (reset_ztimer)
218
                begin
219
                        if (reset_instruction[`DDR_RSTDONE])
220
                                reset_override <= 1'b0;
221
                        reset_cmd <= reset_instruction[20:0];
222
                end
223 2 dgisselq
 
224 4 dgisselq
        initial reset_ztimer = 1'b0;    // Is the timer zero?
225 5 dgisselq
        initial reset_timer = 17'h02;
226 2 dgisselq
        always @(posedge i_clk)
227
                if (i_reset)
228
                begin
229
                        reset_ztimer <= 1'b0;
230 5 dgisselq
                        reset_timer <= 17'd2;
231 2 dgisselq
                end else if (!reset_ztimer)
232
                begin
233
                        reset_ztimer <= (reset_timer == 17'h01);
234
                        reset_timer <= reset_timer - 17'h01;
235
                end else if (reset_instruction[`DDR_RSTTIMER])
236
                begin
237
                        reset_ztimer <= 1'b0;
238
                        reset_timer <= reset_instruction[16:0];
239
                end
240
 
241 5 dgisselq
        wire    [16:0]   w_ckXPR = CKXPR, w_ckRST = 4, w_ckRP = 3,
242 4 dgisselq
                        w_ckRFC = CKRFC;
243 2 dgisselq
        always @(posedge i_clk)
244 4 dgisselq
                if (i_reset)
245 5 dgisselq
                        reset_instruction <= { 4'h4, `DDR_NOOP, 17'd40_000 };
246
                else if (reset_ztimer) case(reset_address) // RSTDONE, TIMER, CKE, ??
247 4 dgisselq
                // 1. Reset asserted (active low) for 200 us. (@200MHz)
248 6 dgisselq
                5'h0: reset_instruction <= { 4'h4, `DDR_NOOP, 17'd40_000 };
249 4 dgisselq
                // 2. Reset de-asserted, wait 500 us before asserting CKE
250 6 dgisselq
                5'h1: reset_instruction <= { 4'h6, `DDR_NOOP, 17'd100_000 };
251 4 dgisselq
                // 3. Assert CKE, wait minimum of Reset CKE Exit time
252 6 dgisselq
                5'h2: reset_instruction <= { 4'h7, `DDR_NOOP, w_ckXPR };
253 4 dgisselq
                // 4. Look MR2.  (1CK, no TIMER)
254 6 dgisselq
                5'h3: reset_instruction <= { 4'h3, `DDR_MRSET, 3'h2,
255 5 dgisselq
                        3'h0, 2'b00, 1'b0, 1'b0, 1'b1, 3'b0, 3'b0 }; // MRS2
256 4 dgisselq
                // 3. Wait 4 clocks (tMRD)
257 6 dgisselq
                5'h4: reset_instruction <= { 4'h7, `DDR_NOOP, 17'h02 };
258 4 dgisselq
                // 5. Set MR1
259 6 dgisselq
                5'h5: reset_instruction <= { 4'h3, `DDR_MRSET, 3'h1,
260 5 dgisselq
                        1'h0, // Reserved for Future Use (RFU)
261 4 dgisselq
                        1'b0, // Qoff - output buffer enabled
262
                        1'b1, // TDQS ... enabled
263
                        1'b0, // RFU
264
                        1'b0, // High order bit, Rtt_Nom (3'b011)
265
                        1'b0, // RFU
266
                        //
267
                        1'b0, // Disable write-leveling
268
                        1'b1, // Mid order bit of Rtt_Nom
269
                        1'b0, // High order bit of Output Drvr Impedence Ctrl
270
                        2'b0, // Additive latency = 0
271
                        1'b1, // Low order bit of Rtt_Nom
272
                        1'b1, // DIC set to 2'b01
273
                        1'b1 }; // MRS1, DLL enable
274
                // 7. Wait another 4 clocks
275 6 dgisselq
                5'h6: reset_instruction <= { 4'h7, `DDR_NOOP, 17'h02 };
276 4 dgisselq
                // 8. Send MRS0
277 6 dgisselq
                5'h7: reset_instruction <= { 4'h3, `DDR_MRSET, 3'h0,
278 5 dgisselq
                        1'b0, // Reserved for future use
279 4 dgisselq
                        1'b0, // PPD control, (slow exit(DLL off))
280
                        3'b1, // Write recovery for auto precharge
281
                        1'b0, // DLL Reset (No)
282
                        //
283
                        1'b0, // TM mode normal
284
                        3'b01, // High 3-bits, CAS latency (=4'b0010 = 4'd5)
285
                        1'b0, // Read burst type = nibble sequential
286
                        1'b0, // Low bit of cas latency
287
                        2'b0 }; // Burst length = 8 (Fixed)
288
                // 9. Wait tMOD, is max(12 clocks, 15ns)
289 6 dgisselq
                5'h8: reset_instruction <= { 4'h7, `DDR_NOOP, 17'h0a };
290 4 dgisselq
                // 10. Issue a ZQCL command to start ZQ calibration, A10 is high
291 6 dgisselq
                5'h9: reset_instruction <= { 4'h3, `DDR_ZQS, 6'h0, 1'b1, 10'h0};
292 4 dgisselq
                //11.Wait for both tDLLK and tZQinit completed, both are 512 cks
293 6 dgisselq
                5'ha: reset_instruction <= { 4'h7, `DDR_NOOP, 17'd512 };
294 4 dgisselq
                // 12. Precharge all command
295 6 dgisselq
                5'hb: reset_instruction <= { 4'h3, `DDR_PRECHARGE, 6'h0, 1'b1, 10'h0 };
296 4 dgisselq
                // 13. Wait for the precharge to complete
297 6 dgisselq
                5'hc: reset_instruction <= { 4'h7, `DDR_NOOP, w_ckRP };
298 4 dgisselq
                // 14. A single Auto Refresh commands
299 6 dgisselq
                5'hd: reset_instruction <= { 4'h3, `DDR_REFRESH, 17'h00 };
300 4 dgisselq
                // 15. Wait for the auto refresh to complete
301 6 dgisselq
                5'he: reset_instruction <= { 4'h7, `DDR_NOOP, w_ckRFC };
302 4 dgisselq
                // Two Auto Refresh commands
303 2 dgisselq
                default:
304 5 dgisselq
                        reset_instruction <={4'hb, `DDR_NOOP, 17'd00_000 };
305 2 dgisselq
                endcase
306
                // reset_instruction <= reset_mem[reset_address];
307
 
308 6 dgisselq
        initial reset_address = 5'h0;
309 2 dgisselq
        always @(posedge i_clk)
310
                if (i_reset)
311 6 dgisselq
                        reset_address <= 5'h1;
312
                else if ((reset_ztimer)&&(reset_override))
313
                        reset_address <= reset_address + 5'h1;
314 2 dgisselq
//
315
// initial reset_mem =
316
//       0.     !DONE, TIMER,RESET_N=0, CKE=0, CMD = NOOP, TIMER = 200us ( 40,000)
317
//       1.     !DONE, TIMER,RESET_N=1, CKE=0, CMD = NOOP, TIMER = 500us (100,000)
318
//       2.     !DONE, TIMER,RESET_N=1, CKE=1, CMD = NOOP, TIMER = (Look me up)
319
//       3.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = MODE, MRS
320
//       4.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = NOOP, TIMER = tMRS
321
//       5.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = MODE, MRS3
322
//       6.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = NOOP, TIMER = tMRS
323
//       7.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = MODE, MRS1
324
//       8.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = NOOP, TIMER = tMRS
325
//       9.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = MODE, MRS1
326
//      10.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = NOOP, TIMER = tMOD
327
//      11.     !DONE,!TIMER,RESET_N=1, CKE=1, (Pre-charge all)
328
//      12.     !DONE,!TIMER,RESET_N=1, CKE=1, (wait)
329
//      13.     !DONE,!TIMER,RESET_N=1, CKE=1, (Auto-refresh)
330
//      14.     !DONE,!TIMER,RESET_N=1, CKE=1, (Auto-refresh)
331
//      15.     !DONE,!TIMER,RESET_N=1, CKE=1, (wait)
332
 
333
 
334
//
335
//
336
// Let's keep track of any open banks.  There are 8 of them to keep track of.
337
//
338
//      A precharge requires 3 clocks at 200MHz to complete, 2 clocks at 100MHz.
339
//      
340
//
341
//
342 3 dgisselq
        reg     need_refresh;
343 2 dgisselq
 
344 3 dgisselq
        wire    w_precharge_all;
345
        reg     banks_are_closing, all_banks_closed;
346 6 dgisselq
        reg     [3:0]    bank_status     [0:7];
347
        reg     [13:0]   bank_address    [0:7];
348 12 dgisselq
        reg     [3:0]    bank_wr_ck      [0:7]; // tWTR
349
        reg             bank_wr_ckzro   [0:7]; // tWTR
350 6 dgisselq
 
351 12 dgisselq
        wire    [3:0]    write_recycle_clocks;
352
        assign  write_recycle_clocks = CKWR+4+4;
353
 
354 2 dgisselq
        always @(posedge i_clk)
355
        begin
356 6 dgisselq
                bank_status[0] <= { bank_status[0][2:0], bank_status[0][0] };
357
                bank_status[1] <= { bank_status[1][2:0], bank_status[1][0] };
358
                bank_status[2] <= { bank_status[2][2:0], bank_status[2][0] };
359
                bank_status[3] <= { bank_status[3][2:0], bank_status[3][0] };
360
                bank_status[4] <= { bank_status[4][2:0], bank_status[4][0] };
361
                bank_status[5] <= { bank_status[5][2:0], bank_status[5][0] };
362
                bank_status[6] <= { bank_status[6][2:0], bank_status[6][0] };
363
                bank_status[7] <= { bank_status[7][2:0], bank_status[7][0] };
364
                all_banks_closed <= (bank_status[0][2:0] == 3'b00)
365
                                        &&(bank_status[1][2:0] == 3'b00)
366
                                        &&(bank_status[2][2:0] == 3'b00)
367
                                        &&(bank_status[3][2:0] == 3'b00)
368
                                        &&(bank_status[4][2:0] == 3'b00)
369
                                        &&(bank_status[5][2:0] == 3'b00)
370
                                        &&(bank_status[6][2:0] == 3'b00)
371
                                        &&(bank_status[7][2:0] == 3'b00);
372 12 dgisselq
 
373
                bank_wr_ck[0] <= (|bank_wr_ck[0])?(bank_wr_ck[0]-4'h1):4'h0;
374
                bank_wr_ck[1] <= (|bank_wr_ck[1])?(bank_wr_ck[1]-4'h1):4'h0;
375
                bank_wr_ck[2] <= (|bank_wr_ck[2])?(bank_wr_ck[2]-4'h1):4'h0;
376
                bank_wr_ck[3] <= (|bank_wr_ck[3])?(bank_wr_ck[3]-4'h1):4'h0;
377
                bank_wr_ck[4] <= (|bank_wr_ck[4])?(bank_wr_ck[4]-4'h1):4'h0;
378
                bank_wr_ck[5] <= (|bank_wr_ck[5])?(bank_wr_ck[5]-4'h1):4'h0;
379
                bank_wr_ck[6] <= (|bank_wr_ck[6])?(bank_wr_ck[6]-4'h1):4'h0;
380
                bank_wr_ck[7] <= (|bank_wr_ck[7])?(bank_wr_ck[7]-4'h1):4'h0;
381
 
382
                bank_wr_ckzro[0] <= (bank_wr_ck[0][3:1]==3'b00);
383
                bank_wr_ckzro[1] <= (bank_wr_ck[1][3:1]==3'b00);
384
                bank_wr_ckzro[2] <= (bank_wr_ck[2][3:1]==3'b00);
385
                bank_wr_ckzro[3] <= (bank_wr_ck[3][3:1]==3'b00);
386
                bank_wr_ckzro[4] <= (bank_wr_ck[4][3:1]==3'b00);
387
                bank_wr_ckzro[5] <= (bank_wr_ck[5][3:1]==3'b00);
388
                bank_wr_ckzro[6] <= (bank_wr_ck[6][3:1]==3'b00);
389
                bank_wr_ckzro[7] <= (bank_wr_ck[7][3:1]==3'b00);
390
 
391
                if (w_this_rw_move)
392
                        bank_wr_ck[rw_cmd[16:14]] <= (rw_cmd[`DDR_WEBIT])? 4'h0
393
                                : write_recycle_clocks;
394
 
395 7 dgisselq
                if (reset_override)
396 2 dgisselq
                begin
397 6 dgisselq
                        bank_status[0][0] <= 1'b0;
398
                        bank_status[1][0] <= 1'b0;
399
                        bank_status[2][0] <= 1'b0;
400
                        bank_status[3][0] <= 1'b0;
401
                        bank_status[4][0] <= 1'b0;
402
                        bank_status[5][0] <= 1'b0;
403
                        bank_status[6][0] <= 1'b0;
404
                        bank_status[7][0] <= 1'b0;
405 2 dgisselq
                        banks_are_closing <= 1'b1;
406 7 dgisselq
                end else if ((need_refresh)||(w_precharge_all))
407
                begin
408
                        bank_status[0][0] <= 1'b0;
409
                        bank_status[1][0] <= 1'b0;
410
                        bank_status[2][0] <= 1'b0;
411
                        bank_status[3][0] <= 1'b0;
412
                        bank_status[4][0] <= 1'b0;
413
                        bank_status[5][0] <= 1'b0;
414
                        bank_status[6][0] <= 1'b0;
415
                        bank_status[7][0] <= 1'b0;
416
                        banks_are_closing <= 1'b1;
417 2 dgisselq
                end else if (need_close_bank)
418
                begin
419 6 dgisselq
                        bank_status[close_bank_cmd[16:14]]
420 8 dgisselq
                                <= { bank_status[close_bank_cmd[16:14]][2:0], 1'b0 };
421 6 dgisselq
                        // bank_status[close_bank_cmd[16:14]][0] <= 1'b0;
422 2 dgisselq
                end else if (need_open_bank)
423
                begin
424 6 dgisselq
                        bank_status[activate_bank_cmd[16:14]]
425
                                <= { bank_status[activate_bank_cmd[16:14]][2:0], 1'b1 };
426
                        // bank_status[activate_bank_cmd[16:14]][0] <= 1'b1;
427 2 dgisselq
                        all_banks_closed <= 1'b0;
428
                        banks_are_closing <= 1'b0;
429 6 dgisselq
                end else if ((valid_bank)&&(!r_move))
430
                        ;
431
                else if (maybe_close_next_bank)
432
                begin
433
                        bank_status[maybe_close_cmd[16:14]]
434 8 dgisselq
                                <= { bank_status[maybe_close_cmd[16:14]][2:0], 1'b0 };
435 6 dgisselq
                end else if (maybe_open_next_bank)
436
                begin
437
                        bank_status[maybe_open_cmd[16:14]]
438
                                <= { bank_status[maybe_open_cmd[16:14]][2:0], 1'b1 };
439
                        // bank_status[activate_bank_cmd[16:14]][0] <= 1'b1;
440
                        all_banks_closed <= 1'b0;
441
                        banks_are_closing <= 1'b0;
442 2 dgisselq
                end
443
        end
444
 
445
        always @(posedge i_clk)
446 3 dgisselq
                // if (cmd[22:19] == `DDR_ACTIVATE)
447 8 dgisselq
                if (w_this_opening_bank)
448 5 dgisselq
                        bank_address[activate_bank_cmd[16:14]]
449
                                <= activate_bank_cmd[13:0];
450 8 dgisselq
                else if (!w_this_maybe_open)
451
                        bank_address[maybe_open_cmd[16:14]]
452
                                <= maybe_open_cmd[13:0];
453 2 dgisselq
 
454
//
455
//
456
// Okay, let's investigate when we need to do a refresh.  Our plan will be to
457
// do 4 refreshes every tREFI*4 seconds.  tREFI = 7.8us, but its a parameter
458
// in the number of clocks so that we can handle both 100MHz and 200MHz clocks.
459
//
460
// Note that 160ns are needed between refresh commands (JEDEC, p172), or
461
// 320 clocks @200MHz, or equivalently 160 clocks @100MHz.  Thus to issue 4
462
// of these refresh cycles will require 4*320=1280 clocks@200 MHz.  After this
463
// time, no more refreshes will be needed for 6240 clocks.
464
//
465
// Let's think this through:
466
//      REFRESH_COST = (n*(320)+24)/(n*1560)
467
// 
468
//
469
//
470 7 dgisselq
        reg             refresh_ztimer;
471
        reg     [16:0]   refresh_counter;
472
        reg     [3:0]    refresh_addr;
473
        reg     [23:0]   refresh_instruction;
474 2 dgisselq
        always @(posedge i_clk)
475 7 dgisselq
                if (reset_override)
476
                        refresh_addr <= 4'hf;
477
                else if (refresh_ztimer)
478
                        refresh_addr <= refresh_addr + 1;
479
                else if (refresh_instruction[`DDR_RFBEGIN])
480
                        refresh_addr <= 4'h0;
481 6 dgisselq
 
482 2 dgisselq
        always @(posedge i_clk)
483 7 dgisselq
                if (reset_override)
484
                begin
485
                        refresh_ztimer <= 1'b1;
486
                        refresh_counter <= 17'd0;
487
                end else if (!refresh_ztimer)
488
                begin
489
                        refresh_ztimer <= (refresh_counter == 17'h1);
490
                        refresh_counter <= (refresh_counter - 17'h1);
491
                end else if (refresh_instruction[`DDR_RFTIMER])
492
                begin
493
                        refresh_ztimer <= 1'b0;
494
                        refresh_counter <= refresh_instruction[16:0];
495
                end
496 2 dgisselq
 
497 7 dgisselq
        wire    [16:0]   w_ckREFIn, w_ckREFRst;
498 13 dgisselq
        assign  w_ckREFIn[(CKRBITS-1): 0] = CKREFI4-5*CKRFC-2-10;
499
        assign  w_ckREFIn[ 16:(CKRBITS)] = 0;
500
        assign  w_ckREFRst = CKRFC-2-12;
501 7 dgisselq
 
502 2 dgisselq
        always @(posedge i_clk)
503 7 dgisselq
                if (reset_override)
504 12 dgisselq
                        refresh_cmd <= { `DDR_NOOP, w_ckREFIn };
505 7 dgisselq
                else if (refresh_ztimer)
506
                        refresh_cmd <= refresh_instruction[20:0];
507 2 dgisselq
        always @(posedge i_clk)
508 7 dgisselq
                if (reset_override)
509
                        need_refresh <= 1'b0;
510
                else if (refresh_ztimer)
511
                        need_refresh <= refresh_instruction[`DDR_NEEDREFRESH];
512 2 dgisselq
 
513
        always @(posedge i_clk)
514 7 dgisselq
        if (refresh_ztimer)
515
                case(refresh_addr)//NEED-RFC, HAVE-TIMER, 
516
                4'h0: refresh_instruction <= { 3'h2, `DDR_NOOP, w_ckREFIn };
517
                // 17'd10 = time to complete write, plus write recovery time
518
                //              minus two (cause we can't count zero or one)
519
                //      = WL+4+tWR-2 = 10
520
                //      = 5+4+3-2 = 10
521
                4'h1: refresh_instruction <= { 3'h6, `DDR_NOOP, 17'd10 };
522
                4'h2: refresh_instruction <= { 3'h4, `DDR_PRECHARGE, 17'h0400 };
523
                4'h3: refresh_instruction <= { 3'h6, `DDR_NOOP, 17'd2 };
524
                4'h4: refresh_instruction <= { 3'h4, `DDR_REFRESH, 17'h00 };
525
                4'h5: refresh_instruction <= { 3'h6, `DDR_NOOP, w_ckRFC };
526
                4'h6: refresh_instruction <= { 3'h4, `DDR_REFRESH, 17'h00 };
527
                4'h7: refresh_instruction <= { 3'h6, `DDR_NOOP, w_ckRFC };
528
                4'h8: refresh_instruction <= { 3'h4, `DDR_REFRESH, 17'h00 };
529
                4'h9: refresh_instruction <= { 3'h6, `DDR_NOOP, w_ckRFC };
530
                4'ha: refresh_instruction <= { 3'h4, `DDR_REFRESH, 17'h00 };
531
                4'hb: refresh_instruction <= { 3'h6, `DDR_NOOP, w_ckRFC };
532 8 dgisselq
                4'hc: refresh_instruction <= { 3'h2, `DDR_NOOP, w_ckREFRst };
533 7 dgisselq
                default:
534
                        refresh_instruction <= { 3'h1, `DDR_NOOP, 17'h00 };
535
                endcase
536 2 dgisselq
 
537
 
538
//
539
//
540
//      Let's track: when will our bus be active?  When will we be reading or
541
//      writing?
542
//
543
//
544 13 dgisselq
        reg     [`BUSNOW:0]      bus_active, bus_read, bus_new, bus_ack;
545 7 dgisselq
        reg     [1:0]    bus_subaddr     [`BUSNOW:0];
546 3 dgisselq
        initial bus_active = 0;
547 2 dgisselq
        always @(posedge i_clk)
548
        begin
549 7 dgisselq
                bus_active[`BUSNOW:0] <= { bus_active[(`BUSNOW-1):0], 1'b0 };
550
                bus_read[`BUSNOW:0]   <= { bus_read[(`BUSNOW-1):0], 1'b0 }; // Drive the d-bus?
551 9 dgisselq
                // Is this a new command?  i.e., the start of a transaction?
552
                bus_new[`BUSNOW:0]   <= { bus_new[(`BUSNOW-1):0], 1'b0 };
553 13 dgisselq
                // Will this position on the bus get a wishbone acknowledgement?
554
                bus_ack[`BUSNOW:0]   <= { bus_ack[(`BUSNOW-1):0], 1'b0 };
555 3 dgisselq
                //bus_mask[8:0] <= { bus_mask[7:0], 1'b1 }; // Write this value?
556 2 dgisselq
                bus_subaddr[8]  <= bus_subaddr[7];
557
                bus_subaddr[7]  <= bus_subaddr[6];
558
                bus_subaddr[6]  <= bus_subaddr[5];
559
                bus_subaddr[5]  <= bus_subaddr[4];
560
                bus_subaddr[4]  <= bus_subaddr[3];
561
                bus_subaddr[3]  <= bus_subaddr[2];
562
                bus_subaddr[2]  <= bus_subaddr[1];
563
                bus_subaddr[1]  <= bus_subaddr[0];
564
                bus_subaddr[0]  <= 2'h3;
565 13 dgisselq
 
566
                bus_ack[5] <= (bus_ack[4])&&
567
                                ((bus_subaddr[5] != bus_subaddr[4])
568
                                        ||(bus_new[4]));
569 7 dgisselq
                if (w_this_rw_move)
570 2 dgisselq
                begin
571
                        bus_active[3:0]<= 4'hf; // Once per clock
572
                        bus_subaddr[3] <= 2'h0;
573
                        bus_subaddr[2] <= 2'h1;
574
                        bus_subaddr[1] <= 2'h2;
575 9 dgisselq
                        bus_new[{ 2'b0, rw_sub }] <= 1'b1;
576 13 dgisselq
                        bus_ack[{ 2'b0, rw_sub }] <= 1'b1;
577 4 dgisselq
 
578 9 dgisselq
                        bus_read[3:0] <= (rw_we)? 4'h0:4'hf;
579 13 dgisselq
                end else if ((s_pending)&&(!pipe_stall))
580
                begin
581
                        if (bus_subaddr[3] == s_sub)
582
                                bus_ack[4] <= 1'b1;
583
                        if (bus_subaddr[2] == s_sub)
584
                                bus_ack[3] <= 1'b1;
585
                        if (bus_subaddr[1] == s_sub)
586
                                bus_ack[2] <= 1'b1;
587 2 dgisselq
                end
588
        end
589
 
590 13 dgisselq
        // Need to set o_wb_dqs high one clock prior to any read.
591 2 dgisselq
        always @(posedge i_clk)
592 13 dgisselq
                drive_dqs <= (|bus_active[`BUSREG:(`BUSREG-1)])
593
                        &&(~(|bus_read[`BUSREG:(`BUSREG-1)]));
594 2 dgisselq
 
595
//
596
//
597
// Now, let's see, can we issue a read command?
598
//
599
//
600 9 dgisselq
        wire    w_s_match;
601
        assign  w_s_match = (s_pending)&&(r_pending)&&(r_we == s_we)
602
                                &&(r_row == s_row)&&(r_bank == s_bank)
603
                                &&(r_col == s_col)
604
                                &&(r_sub > s_sub);
605
        reg     pipe_stall;
606 2 dgisselq
        always @(posedge i_clk)
607
        begin
608 9 dgisselq
                r_pending <= (i_wb_stb)&&(~o_wb_stall)
609
                                ||(r_pending)&&(pipe_stall);
610
                if (~pipe_stall)
611
                        s_pending <= r_pending;
612
                if (~pipe_stall)
613 2 dgisselq
                begin
614 9 dgisselq
                        pipe_stall <= (r_pending)&&(((!w_r_valid)||(valid_bank))&&(!w_s_match));
615
                        o_wb_stall <= (r_pending)&&(((!w_r_valid)||(valid_bank))&&(!w_s_match));
616
                end else begin // if (pipe_stall)
617
                        pipe_stall <= (s_pending)&&((!w_s_valid)||(valid_bank)||(r_move)||(last_valid_bank));
618
                        o_wb_stall <= (s_pending)&&((!w_s_valid)||(valid_bank)||(r_move)||(last_valid_bank));
619
                end
620
                if (need_refresh)
621 2 dgisselq
                        o_wb_stall <= 1'b1;
622
 
623 9 dgisselq
                if (~pipe_stall)
624 2 dgisselq
                begin
625
                        r_we   <= i_wb_we;
626
                        r_addr <= i_wb_addr;
627
                        r_data <= i_wb_data;
628 5 dgisselq
                        r_row  <= i_wb_addr[25:12];
629
                        r_bank <= i_wb_addr[11:9];
630
                        r_col  <= { i_wb_addr[8:2], 3'b000 }; // 9:2
631 2 dgisselq
                        r_sub  <= i_wb_addr[1:0];
632
 
633
                        // pre-emptive work
634 6 dgisselq
                        r_nxt_row  <= (i_wb_addr[11:9]==3'h7)?i_wb_addr[25:12]+14'h1:i_wb_addr[25:12];
635 5 dgisselq
                        r_nxt_bank <= i_wb_addr[11:9]+3'h1;
636 2 dgisselq
                end
637 9 dgisselq
 
638
                if (~pipe_stall)
639
                begin
640
                        // Moving one down the pipeline
641
                        s_we   <= r_we;
642
                        s_addr <= r_addr;
643
                        s_data <= r_data;
644
                        s_row  <= r_row;
645
                        s_bank <= r_bank;
646
                        s_col  <= r_col;
647
                        s_sub  <= r_sub;
648
 
649
                        // pre-emptive work
650
                        s_nxt_row  <= r_nxt_row;
651
                        s_nxt_bank <= r_nxt_bank;
652
 
653 10 dgisselq
                        // s_match <= w_s_match;
654 9 dgisselq
                end
655 2 dgisselq
        end
656
 
657 6 dgisselq
        assign  w_need_close_this_bank = (r_pending)&&(bank_status[r_bank][0])
658 12 dgisselq
                        &&(bank_wr_ckzro[r_bank])
659 9 dgisselq
                        &&(r_row != bank_address[r_bank])
660
                        ||(pipe_stall)&&(s_pending)&&(bank_status[s_bank][0])
661
                                &&(s_row != bank_address[s_bank]);
662
        assign  w_need_open_bank = (r_pending)&&(bank_status[r_bank][1:0]==2'b00)
663
                        ||(pipe_stall)&&(s_pending)&&(bank_status[s_bank][1:0]==2'b00);
664
        assign  w_r_valid = (!need_refresh)&&(r_pending)
665
                        &&(bank_status[r_bank][3])
666
                        &&(bank_address[r_bank]==r_row)
667 12 dgisselq
                        &&((r_we)||(bank_wr_ckzro[r_bank]))
668 9 dgisselq
                        &&(!bus_active[0]);
669
        assign  w_s_valid = (!need_refresh)&&(s_pending)
670
                        &&(bank_status[s_bank][3])
671
                        &&(bank_address[s_bank]==s_row)
672 12 dgisselq
                        &&((r_we)||(bank_wr_ckzro[s_bank]))
673 9 dgisselq
                        &&(!bus_active[0]);
674 3 dgisselq
 
675 2 dgisselq
        always @(posedge i_clk)
676
        begin
677 6 dgisselq
                need_close_bank <= (w_need_close_this_bank)
678 10 dgisselq
                                &&(!need_open_bank)
679
                                &&(!need_close_bank)
680 6 dgisselq
                                &&(!w_this_closing_bank)&&(!last_closing_bank);
681 2 dgisselq
 
682
                maybe_close_next_bank <= (r_pending)
683 6 dgisselq
                        &&(bank_status[r_nxt_bank][0])
684 12 dgisselq
                        &&(bank_wr_ckzro[r_nxt_bank])
685 2 dgisselq
                        &&(r_nxt_row != bank_address[r_nxt_bank])
686 6 dgisselq
                        &&(!w_this_maybe_close)&&(!last_maybe_close);
687 2 dgisselq
 
688 6 dgisselq
                close_bank_cmd <= { `DDR_PRECHARGE, r_bank, r_row[13:11], 1'b0, r_row[9:0] };
689
                maybe_close_cmd <= { `DDR_PRECHARGE, r_nxt_bank, r_nxt_row[13:11], 1'b0, r_nxt_row[9:0] };
690 2 dgisselq
 
691
 
692 6 dgisselq
                need_open_bank <= (w_need_open_bank)
693
                                &&(!w_this_opening_bank)&&(!last_opening_bank);
694
                last_open_bank <= (w_this_opening_bank);
695 2 dgisselq
 
696
                maybe_open_next_bank <= (r_pending)
697 6 dgisselq
                        &&(bank_status[r_bank][0] == 1'b1)
698
                        &&(bank_status[r_nxt_bank][1:0] == 2'b00)
699
                        &&(!w_this_maybe_open)&&(!last_maybe_open);
700 2 dgisselq
 
701 6 dgisselq
                activate_bank_cmd<= { `DDR_ACTIVATE,  r_bank,     r_row[13:0] };
702
                maybe_open_cmd <= { `DDR_ACTIVATE,r_nxt_bank, r_nxt_row[13:0] };
703 2 dgisselq
 
704
 
705
 
706 9 dgisselq
                valid_bank <= ((w_r_valid)||(pipe_stall)&&(w_s_valid))
707
                                &&(!last_valid_bank)&&(!r_move);
708 6 dgisselq
                last_valid_bank <= r_move;
709 2 dgisselq
 
710 9 dgisselq
                if ((s_pending)&&(pipe_stall))
711
                        rw_cmd[`DDR_CSBIT:`DDR_WEBIT] <= (s_we)?`DDR_WRITE:`DDR_READ;
712
                else if (r_pending)
713
                        rw_cmd[`DDR_CSBIT:`DDR_WEBIT] <= (r_we)?`DDR_WRITE:`DDR_READ;
714
                else
715
                        rw_cmd[`DDR_CSBIT:`DDR_WEBIT] <= `DDR_NOOP;
716
                if ((s_pending)&&(pipe_stall))
717
                        rw_cmd[`DDR_WEBIT-1:0] <= { s_bank, 3'h0, 1'b0, s_col };
718
                else
719
                        rw_cmd[`DDR_WEBIT-1:0] <= { r_bank, 3'h0, 1'b0, r_col };
720
                if ((s_pending)&&(pipe_stall))
721
                        rw_sub <= 2'b11 - s_sub;
722
                else
723
                        rw_sub <= 2'b11 - r_sub;
724
                if ((s_pending)&&(pipe_stall))
725
                        rw_we <= s_we;
726
                else
727
                        rw_we <= r_we;
728
 
729 2 dgisselq
        end
730
 
731
//
732
//
733
// Okay, let's look at the last assignment in our chain.  It should look
734
// something like:
735
        always @(posedge i_clk)
736 4 dgisselq
                if (i_reset)
737
                        o_ddr_reset_n <= 1'b0;
738
                else if (reset_ztimer)
739
                        o_ddr_reset_n <= reset_instruction[`DDR_RSTBIT];
740 2 dgisselq
        always @(posedge i_clk)
741 4 dgisselq
                if (i_reset)
742
                        o_ddr_cke <= 1'b0;
743
                else if (reset_ztimer)
744
                        o_ddr_cke <= reset_instruction[`DDR_CKEBIT];
745 6 dgisselq
 
746 9 dgisselq
        always @(posedge i_clk)
747
                if (i_reset)
748
                        maintenance_override <= 1'b1;
749
                else
750
                        maintenance_override <= (reset_override)||(need_refresh);
751 7 dgisselq
 
752 9 dgisselq
        initial maintenance_cmd = { `DDR_NOOP, 17'h00 };
753
        always @(posedge i_clk)
754
                if (i_reset)
755
                        maintenance_cmd <= { `DDR_NOOP, 17'h00 };
756
                else
757
                        maintenance_cmd <= (reset_override)?reset_cmd:refresh_cmd;
758
 
759
        assign  w_this_closing_bank = (!maintenance_override)
760 6 dgisselq
                                &&(need_close_bank);
761 9 dgisselq
        assign  w_this_opening_bank = (!maintenance_override)
762 6 dgisselq
                                &&(!need_close_bank)&&(need_open_bank);
763 9 dgisselq
        assign  w_this_rw_move = (!maintenance_override)
764 7 dgisselq
                                &&(!need_close_bank)&&(!need_open_bank)
765
                                &&(valid_bank)&&(!r_move);
766 9 dgisselq
        assign  w_this_maybe_close = (!maintenance_override)
767 6 dgisselq
                                &&(!need_close_bank)&&(!need_open_bank)
768
                                &&((!valid_bank)||(r_move))
769
                                &&(maybe_close_next_bank);
770 9 dgisselq
        assign  w_this_maybe_open = (!maintenance_override)
771 6 dgisselq
                                &&(!need_close_bank)&&(!need_open_bank)
772
                                &&((!valid_bank)||(r_move))
773
                                &&(!maybe_close_next_bank)
774
                                &&(maybe_open_next_bank);
775 2 dgisselq
        always @(posedge i_clk)
776
        begin
777 6 dgisselq
                last_opening_bank <= 1'b0;
778
                last_closing_bank <= 1'b0;
779
                last_maybe_open   <= 1'b0;
780
                last_maybe_close  <= 1'b0;
781 2 dgisselq
                r_move <= 1'b0;
782 9 dgisselq
                if (maintenance_override) // Command from either reset or
783
                        cmd <= maintenance_cmd; // refresh logic
784
                else if (need_close_bank)
785 2 dgisselq
                begin
786
                        cmd <= close_bank_cmd;
787 6 dgisselq
                        last_closing_bank <= 1'b1;
788
                end else if (need_open_bank)
789
                begin
790 2 dgisselq
                        cmd <= activate_bank_cmd;
791 6 dgisselq
                        last_opening_bank <= 1'b1;
792
                end else if ((valid_bank)&&(!r_move))
793 2 dgisselq
                begin
794
                        cmd <= rw_cmd;
795
                        r_move <= 1'b1;
796 6 dgisselq
                end else if (maybe_close_next_bank)
797
                begin
798
                        cmd <= maybe_close_cmd;
799
                        last_maybe_close <= 1'b1;
800
                end else if (maybe_open_next_bank)
801
                begin
802
                        cmd <= maybe_open_cmd;
803
                        last_maybe_open <= 1'b1;
804 2 dgisselq
                end else
805 4 dgisselq
                        cmd <= { `DDR_NOOP, rw_cmd[(`DDR_WEBIT-1):0] };
806 2 dgisselq
        end
807
 
808 13 dgisselq
`define LGFIFOLN        3
809
`define FIFOLEN         8
810 7 dgisselq
        reg     [(`LGFIFOLN-1):0]        bus_fifo_head, bus_fifo_tail;
811
        reg     [31:0]   bus_fifo_data   [0:(`FIFOLEN-1)];
812
        reg     [1:0]    bus_fifo_sub    [0:(`FIFOLEN-1)];
813
        reg             bus_fifo_new    [0:(`FIFOLEN-1)];
814
        reg             pre_ack;
815 3 dgisselq
 
816 7 dgisselq
        // The bus R/W FIFO
817
        wire    w_bus_fifo_read_next_transaction;
818 13 dgisselq
        assign  w_bus_fifo_read_next_transaction = (bus_ack[`BUSREG]);
819 7 dgisselq
        always @(posedge i_clk)
820
        begin
821
                pre_ack <= 1'b0;
822
                o_ddr_dm <= 1'b0;
823 13 dgisselq
                if (reset_override)
824 7 dgisselq
                begin
825 13 dgisselq
                        bus_fifo_head <= {(`LGFIFOLN){1'b0}};
826
                        bus_fifo_tail <= {(`LGFIFOLN){1'b0}};
827 7 dgisselq
                        o_ddr_dm <= 1'b0;
828
                end else begin
829 13 dgisselq
                        if ((s_pending)&&(!pipe_stall))
830
                                bus_fifo_head <= bus_fifo_head + 1'b1;
831 7 dgisselq
 
832
                        o_ddr_dm <= (bus_active[`BUSREG])&&(!bus_read[`BUSREG]);
833
                        if (w_bus_fifo_read_next_transaction)
834
                        begin
835 13 dgisselq
                                bus_fifo_tail <= bus_fifo_tail + 1'b1;
836 7 dgisselq
                                pre_ack <= 1'b1;
837
                                o_ddr_dm <= 1'b0;
838
                        end
839
                end
840 9 dgisselq
                bus_fifo_data[bus_fifo_head] <= s_data;
841
                bus_fifo_sub[bus_fifo_head] <= s_sub;
842 7 dgisselq
                bus_fifo_new[bus_fifo_head] <= w_this_rw_move;
843 13 dgisselq
 
844
                //
845
                // if ((s_pending)&&(!pipe_stall)&&(!nxt_valid))
846
                //   nxt_fifo_data <= s_data;
847
                //   nxt_fifo_sub <= s_sub;
848
                //   nxt_fifo_new <= w_this_rw_move;
849
                //   nxt_valid <= 1'b1;
850
                //   bus_fifo_head <= bus_fifo_head+1;
851
                //   bus_fifo_tail <= bus_fifo_tail+1;
852
                // else if (w_bus_fifo_read_next_transaction)
853
                //   nxt_fifo_data <= bus_fifo_data[bus_fifo_tail]
854
                //   nxt_fifo_sub <= bus_fifo_data[bus_fifo_tail]
855
                //   nxt_fifo_new <= bus_fifo_data[bus_fifo_tail]
856
                //   nxt_valid <= (bus_fifo_tail+1 == bus_fifo_head);
857
                // 
858
                // if ((!valid)||(w_bus_fifo_next_read_transaction))
859
                //      nxt_ <= bus_fifo_x
860 7 dgisselq
        end
861
 
862
 
863 3 dgisselq
        assign  o_ddr_cs_n  = cmd[`DDR_CSBIT];
864
        assign  o_ddr_ras_n = cmd[`DDR_RASBIT];
865
        assign  o_ddr_cas_n = cmd[`DDR_CASBIT];
866
        assign  o_ddr_we_n  = cmd[`DDR_WEBIT];
867 2 dgisselq
        assign  o_ddr_dqs   = drive_dqs;
868 3 dgisselq
        assign  o_ddr_addr  = cmd[(`DDR_ADDR_BITS-1):0];
869
        assign  o_ddr_ba    = cmd[(`DDR_BABITS+`DDR_ADDR_BITS-1):`DDR_ADDR_BITS];
870 7 dgisselq
        always @(posedge i_clk)
871
                o_ddr_data  <= bus_fifo_data[bus_fifo_tail];
872 3 dgisselq
        assign  w_precharge_all = (cmd[`DDR_CSBIT:`DDR_WEBIT]==`DDR_PRECHARGE)
873 2 dgisselq
                                &&(o_ddr_addr[10]); // 5 bits
874
 
875 13 dgisselq
        assign  o_ddr_bus_oe = drive_dqs; // ~bus_read[`BUSNOW];
876 2 dgisselq
 
877 4 dgisselq
        // ODT must be in high impedence while reset_n=0, then it can be set
878 13 dgisselq
        // to low or high.  As per spec, ODT = 0 during reads
879
        always @(posedge i_clk)
880
                o_ddr_odt <= (bus_active[`BUSREG-3])&&(!bus_read[`BUSREG-3])
881
                        ||(bus_active[`BUSREG-4])&&(!bus_read[`BUSREG-4])
882
                        ||((w_this_rw_move)&&(rw_we));
883 2 dgisselq
 
884 7 dgisselq
        always @(posedge i_clk)
885
                o_wb_ack <= pre_ack;
886
        always @(posedge i_clk)
887
                o_wb_data <= i_ddr_data;
888 4 dgisselq
 
889 2 dgisselq
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.