OpenCores
URL https://opencores.org/ocsvn/wbddr3/wbddr3/trunk

Subversion Repositories wbddr3

[/] [wbddr3/] [trunk/] [rtl/] [wbddrsdram.v] - Blame information for rev 16

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dgisselq
////////////////////////////////////////////////////////////////////////////////
2
//
3
// Filename:    wbddrsdram.v
4
//
5 16 dgisselq
// Project:     A wishbone controlled DDR3 SDRAM memory controller.
6
// Used in:     OpenArty, an entirely open SoC based upon the Arty platform
7 2 dgisselq
//
8 16 dgisselq
// Purpose:     To control a DDR3-1333 (9-9-9) memory from a wishbone bus.
9
//              In our particular implementation, there will be two command
10
//      clocks (2.5 ns) per FPGA clock (i_clk) at 5 ns, and 64-bits transferred
11
//      per FPGA clock.  However, since the memory is focused around 128-bit
12
//      word transfers, attempts to transfer other than adjacent 64-bit words
13
//      will (of necessity) suffer stalls.  Please see the documentation for
14
//      more details of how this controller works.
15 2 dgisselq
//
16
// Creator:     Dan Gisselquist, Ph.D.
17
//              Gisselquist Technology, LLC
18
//
19
////////////////////////////////////////////////////////////////////////////////
20
//
21
// Copyright (C) 2015-2016, Gisselquist Technology, LLC
22
//
23
// This program is free software (firmware): you can redistribute it and/or
24
// modify it under the terms of  the GNU General Public License as published
25
// by the Free Software Foundation, either version 3 of the License, or (at
26
// your option) any later version.
27
//
28
// This program is distributed in the hope that it will be useful, but WITHOUT
29
// ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or
30
// FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
31
// for more details.
32
//
33
// You should have received a copy of the GNU General Public License along
34
// with this program.  (It's in the $(ROOT)/doc directory, run make with no
35
// target there if the PDF file isn't present.)  If not, see
36
// <http://www.gnu.org/licenses/> for a copy.
37
//
38
// License:     GPL, v3, as defined and found on www.gnu.org,
39
//              http://www.gnu.org/licenses/gpl.html
40
//
41
//
42
////////////////////////////////////////////////////////////////////////////////
43
//
44
//
45
 
46
// Possible commands to the DDR3 memory.  These consist of settings for the
47
// bits: o_wb_cs_n, o_wb_ras_n, o_wb_cas_n, and o_wb_we_n, respectively.
48
`define DDR_MRSET       4'b0000
49
`define DDR_REFRESH     4'b0001
50
`define DDR_PRECHARGE   4'b0010
51
`define DDR_ACTIVATE    4'b0011
52
`define DDR_WRITE       4'b0100
53
`define DDR_READ        4'b0101
54 4 dgisselq
`define DDR_ZQS         4'b0110
55 2 dgisselq
`define DDR_NOOP        4'b0111
56
//`define       DDR_DESELECT    4'b1???
57
//
58
// In this controller, 24-bit commands tend to be passed around.  These 
59
// 'commands' are bit fields.  Here we specify the bits associated with
60
// the bit fields.
61 5 dgisselq
`define DDR_RSTDONE     24      // End the reset sequence?
62
`define DDR_RSTTIMER    23      // Does this reset command take multiple clocks?
63
`define DDR_RSTBIT      22      // Value to place on reset_n
64
`define DDR_CKEBIT      21      // Should this reset command set CKE?
65 7 dgisselq
//
66
// Refresh command bit fields
67
`define DDR_NEEDREFRESH 23
68
`define DDR_RFTIMER     22
69
`define DDR_RFBEGIN     21
70
//
71 5 dgisselq
`define DDR_CMDLEN      21
72
`define DDR_CSBIT       20
73
`define DDR_RASBIT      19
74
`define DDR_CASBIT      18
75
`define DDR_WEBIT       17
76
`define DDR_NOPTIMER    16      // Steal this from BA bits
77 2 dgisselq
`define DDR_BABITS      3       // BABITS are really from 18:16, they are 3 bits
78 3 dgisselq
`define DDR_ADDR_BITS   14
79 7 dgisselq
//
80 16 dgisselq
//
81 3 dgisselq
module  wbddrsdram(i_clk, i_reset,
82 16 dgisselq
                // Wishbone inputs
83 2 dgisselq
                i_wb_cyc, i_wb_stb, i_wb_we, i_wb_addr, i_wb_data,
84 16 dgisselq
                        i_wb_sel,
85
                // Wishbone outputs
86
                o_wb_ack, o_wb_stall, o_wb_data,
87
                o_ddr_reset_n, o_ddr_cke, o_ddr_bus_oe,
88
                o_ddr_cmd_a, o_ddr_cmd_b,
89
                o_ddr_data, i_ddr_data);
90
        // These parameters are not really meant for adjusting from the
91
        // top level.  These are more internal variables, recorded here
92
        // so that things can be automatically adjusted without much
93
        // problem.
94
        parameter       CKRP = 3;
95
        parameter       BUSNOW = 4, BUSREG = BUSNOW-1;
96
        // The commands (above) include (in this order):
97
        //      o_ddr_cs_n, o_ddr_ras_n, o_ddr_cas_n, o_ddr_we_n,
98
        //      o_ddr_dqs, o_ddr_dm, o_ddr_odt
99
        input           i_clk,  // *MUST* be at 200 MHz for this to work
100
                        i_reset;
101 2 dgisselq
        // Wishbone inputs
102 16 dgisselq
        input           i_wb_cyc, i_wb_stb, i_wb_we;
103
        input   [24:0]   i_wb_addr;      // Identifies a 64-bit word of interest
104
        input   [63:0]   i_wb_data;
105
        input   [7:0]    i_wb_sel;
106
        // Wishbone responses/outputs
107
        output  reg             o_wb_ack, o_wb_stall;
108
        output  reg     [63:0]   o_wb_data;
109
        // DDR memory command wires
110
        output  reg     o_ddr_reset_n, o_ddr_cke, o_ddr_bus_oe;
111
        // CMDs are:
112
        //       4 bits of CS, RAS, CAS, WE
113
        //       3 bits of bank
114
        //      14 bits of Address
115
        //       1 bit  of DQS (strobe active, or not)
116
        //       4 bits of mask (one per byte)
117
        //       1 bit  of ODT
118
        //      ----
119
        //      27 bits total
120
        output  wire    [26:0]   o_ddr_cmd_a, o_ddr_cmd_b;
121
        output  reg     [63:0]   o_ddr_data;
122
        input           [63:0]   i_ddr_data;
123 2 dgisselq
 
124 3 dgisselq
 
125 16 dgisselq
//////////
126 2 dgisselq
//
127
//
128 16 dgisselq
//      Reset Logic
129 2 dgisselq
//
130
//
131 16 dgisselq
//////////
132
//
133
//
134 2 dgisselq
// Reset logic should be simple, and is given as follows:
135
// note that it depends upon a ROM memory, reset_mem, and an address into that
136
// memory: reset_address.  Each memory location provides either a "command" to
137
// the DDR3 SDRAM, or a timer to wait until the next command.  Further, the
138
// timer commands indicate whether or not the command during the timer is to
139
// be set to idle, or whether the command is instead left as it was.
140 9 dgisselq
        reg             reset_override, reset_ztimer, maintenance_override;
141 6 dgisselq
        reg     [4:0]    reset_address;
142 16 dgisselq
        reg     [(`DDR_CMDLEN-1):0]      reset_cmd, cmd_a, cmd_b, refresh_cmd,
143 9 dgisselq
                                        maintenance_cmd;
144 5 dgisselq
        reg     [24:0]   reset_instruction;
145 3 dgisselq
        reg     [16:0]   reset_timer;
146
        initial reset_override = 1'b1;
147 6 dgisselq
        initial reset_address  = 5'h0;
148 2 dgisselq
        always @(posedge i_clk)
149
                if (i_reset)
150
                begin
151
                        reset_override <= 1'b1;
152 5 dgisselq
                        reset_cmd <= { `DDR_NOOP, reset_instruction[16:0]};
153
                end else if (reset_ztimer)
154
                begin
155
                        if (reset_instruction[`DDR_RSTDONE])
156
                                reset_override <= 1'b0;
157
                        reset_cmd <= reset_instruction[20:0];
158
                end
159 2 dgisselq
 
160 4 dgisselq
        initial reset_ztimer = 1'b0;    // Is the timer zero?
161 5 dgisselq
        initial reset_timer = 17'h02;
162 2 dgisselq
        always @(posedge i_clk)
163
                if (i_reset)
164
                begin
165
                        reset_ztimer <= 1'b0;
166 5 dgisselq
                        reset_timer <= 17'd2;
167 2 dgisselq
                end else if (!reset_ztimer)
168
                begin
169
                        reset_ztimer <= (reset_timer == 17'h01);
170
                        reset_timer <= reset_timer - 17'h01;
171
                end else if (reset_instruction[`DDR_RSTTIMER])
172
                begin
173
                        reset_ztimer <= 1'b0;
174
                        reset_timer <= reset_instruction[16:0];
175
                end
176
 
177 16 dgisselq
        wire    [16:0]   w_ckXPR, w_ckRFC_first;
178
        wire    [13:0]   w_MR0, w_MR1, w_MR2;
179
        assign w_MR0 = 14'h0420;
180
        assign w_MR1 = 14'h0044;
181
        assign w_MR2 = 14'h0040;
182
        assign w_ckXPR = 17'd68;  // Table 68, p186
183
        assign  w_ckRFC_first = 17'd30; // i.e. 64 nCK, or ckREFI
184 2 dgisselq
        always @(posedge i_clk)
185 16 dgisselq
                // DONE, TIMER, RESET, CKE, 
186 4 dgisselq
                if (i_reset)
187 5 dgisselq
                        reset_instruction <= { 4'h4, `DDR_NOOP, 17'd40_000 };
188
                else if (reset_ztimer) case(reset_address) // RSTDONE, TIMER, CKE, ??
189 4 dgisselq
                // 1. Reset asserted (active low) for 200 us. (@200MHz)
190 6 dgisselq
                5'h0: reset_instruction <= { 4'h4, `DDR_NOOP, 17'd40_000 };
191 4 dgisselq
                // 2. Reset de-asserted, wait 500 us before asserting CKE
192 6 dgisselq
                5'h1: reset_instruction <= { 4'h6, `DDR_NOOP, 17'd100_000 };
193 4 dgisselq
                // 3. Assert CKE, wait minimum of Reset CKE Exit time
194 6 dgisselq
                5'h2: reset_instruction <= { 4'h7, `DDR_NOOP, w_ckXPR };
195 16 dgisselq
                // 4. Set MR2.  (4 nCK, no TIMER, but needs a NOOP cycle)
196
                5'h3: reset_instruction <= { 4'h3, `DDR_MRSET, 3'h2, w_MR2 };
197
                5'h4: reset_instruction <= { 4'h3, `DDR_NOOP,  17'h00 };
198
                // 5. Set MR1.  (4 nCK, no TIMER, but needs a NOOP cycle)
199
                5'h5: reset_instruction <= { 4'h3, `DDR_MRSET, 3'h1, w_MR1 };
200
                5'h6: reset_instruction <= { 4'h3, `DDR_NOOP,  17'h00 };
201
                // 6. Set MR0
202
                5'h7: reset_instruction <= { 4'h3, `DDR_MRSET, 3'h0, w_MR0 };
203
                // 7. Wait 12 clocks
204
                5'h8: reset_instruction <= { 4'h7, `DDR_NOOP,  17'd10 };
205
                // 8. Issue a ZQCL command to start ZQ calibration, A10 is high
206 6 dgisselq
                5'h9: reset_instruction <= { 4'h3, `DDR_ZQS, 6'h0, 1'b1, 10'h0};
207 16 dgisselq
                //11.Wait for both tDLLK and tZQinit completed, both are
208
                // 512 cks. Of course, since every one of these commands takes
209
                // two clocks, we wait for half as many clocks (minus two for
210
                // our timer logic)
211
                5'ha: reset_instruction <= { 4'h7, `DDR_NOOP, 17'd254 };
212 4 dgisselq
                // 12. Precharge all command
213 6 dgisselq
                5'hb: reset_instruction <= { 4'h3, `DDR_PRECHARGE, 6'h0, 1'b1, 10'h0 };
214 16 dgisselq
                // 13. Wait for the precharge to complete.  A count of one,
215
                // will have us waiting (1+2)*2 or 6 clocks, so we should be
216
                // good here.
217
                5'hc: reset_instruction <= { 4'h7, `DDR_NOOP, 17'd1 };
218 4 dgisselq
                // 14. A single Auto Refresh commands
219 6 dgisselq
                5'hd: reset_instruction <= { 4'h3, `DDR_REFRESH, 17'h00 };
220 4 dgisselq
                // 15. Wait for the auto refresh to complete
221 14 dgisselq
                5'he: reset_instruction <= { 4'h7, `DDR_NOOP, w_ckRFC_first };
222 2 dgisselq
                default:
223 5 dgisselq
                        reset_instruction <={4'hb, `DDR_NOOP, 17'd00_000 };
224 2 dgisselq
                endcase
225
 
226 6 dgisselq
        initial reset_address = 5'h0;
227 2 dgisselq
        always @(posedge i_clk)
228
                if (i_reset)
229 6 dgisselq
                        reset_address <= 5'h1;
230
                else if ((reset_ztimer)&&(reset_override))
231
                        reset_address <= reset_address + 5'h1;
232 16 dgisselq
 
233
//////////
234 2 dgisselq
//
235 16 dgisselq
//
236
//      Refresh Logic
237
//
238
//
239
//////////
240
//
241
//
242
//
243
// Okay, let's investigate when we need to do a refresh.  Our plan will be to
244
// do a single refreshes every tREFI seconds.  We will not push off refreshes,
245
// nor pull them in--for simplicity.  tREFI = 7.8us, but it is a parameter
246
// in the number of clocks.  In our case, 7.8us / 5ns = 1560 clocks (not nCK!)
247
//
248
// Note that 160ns are needed between refresh commands (JEDEC, p172), or
249
// 32 clocks @200MHz.  After this time, no more refreshes will be needed for
250
// (1560-32) clocks (@ 200 MHz).
251
//
252
// This logic is very similar to the refresh logic, both use a memory as a 
253
// script.
254
//
255
        reg             need_refresh;
256
        reg             refresh_ztimer;
257
        reg     [16:0]   refresh_counter;
258
        reg     [2:0]    refresh_addr;
259
        reg     [23:0]   refresh_instruction;
260
        always @(posedge i_clk)
261
                if (reset_override)
262
                        refresh_addr <= 3'hf;
263
                else if (refresh_ztimer)
264
                        refresh_addr <= refresh_addr + 3'h1;
265
                else if (refresh_instruction[`DDR_RFBEGIN])
266
                        refresh_addr <= 3'h0;
267 2 dgisselq
 
268 16 dgisselq
        always @(posedge i_clk)
269
                if (reset_override)
270
                begin
271
                        refresh_ztimer <= 1'b1;
272
                        refresh_counter <= 17'd0;
273
                end else if (!refresh_ztimer)
274
                begin
275
                        refresh_ztimer <= (refresh_counter == 17'h1);
276
                        refresh_counter <= (refresh_counter - 17'h1);
277
                end else if (refresh_instruction[`DDR_RFTIMER])
278
                begin
279
                        refresh_ztimer <= 1'b0;
280
                        refresh_counter <= refresh_instruction[16:0];
281
                end
282 2 dgisselq
 
283 16 dgisselq
        wire    [16:0]   w_ckREFI;
284
        assign  w_ckREFI = 17'd1560; // == 6240/4
285
 
286
        wire    [16:0]   w_ckREFI_left, w_ckRFC_nxt, w_wait_for_idle,
287
                        w_precharge_to_refresh;
288
 
289
        // We need to wait for the bus to become idle from whatever state
290
        // it is in.  The difficult time for this measurement is assuming
291
        // a write was just given.  In that case, we need to wait for the
292
        // write to complete, and then to wait an additional tWR (write
293
        // recovery time) or 6 nCK clocks from the end of the write.  This
294
        // works out to seven idle bus cycles from the time of the write
295
        // command, or a count of 5 (7-2).
296
        assign  w_wait_for_idle = 17'd5;        //
297
        assign  w_precharge_to_refresh = 17'd1; // = 3-2
298
        assign  w_ckREFI_left[16:0] = 17'd1560   // The full interval
299
                                -17'd32         // Min what we've already waited
300
                                -w_wait_for_idle
301
                                -w_precharge_to_refresh-17'd12;
302
        assign  w_ckRFC_nxt[16:0] = 17'd32-17'd2;
303
 
304
        always @(posedge i_clk)
305
        if (refresh_ztimer)
306
                case(refresh_addr)//NEED-REFRESH, HAVE-TIMER, BEGIN(start-over)
307
                // First, a number of clocks needing no refresh
308
                3'h0: refresh_instruction <= { 3'h2, `DDR_NOOP, w_ckREFI_left };
309
                // Then, we take command of the bus and wait for it to be
310
                // guaranteed idle
311
                3'h1: refresh_instruction <= { 3'h6, `DDR_NOOP, w_wait_for_idle };
312
                // Once the bus is idle, all commands complete, and a minimum
313
                // recovery time given, we can issue a precharge all command
314
                3'h2: refresh_instruction <= { 3'h4, `DDR_PRECHARGE, 17'h0400 };
315
                // Now we need to wait tRP = 3 clocks (6 nCK)
316
                3'h3: refresh_instruction <= { 3'h6, `DDR_NOOP, w_precharge_to_refresh };
317
                3'h4: refresh_instruction <= { 3'h4, `DDR_REFRESH, 17'h00 };
318
                3'h5: refresh_instruction <= { 3'h6, `DDR_NOOP, w_ckRFC_nxt };
319
                default:
320
                        refresh_instruction <= { 3'h1, `DDR_NOOP, 17'h00 };
321
                endcase
322
 
323
        // Note that we don't need to check if (reset_override) here since
324
        // refresh_ztimer will always be true if (reset_override)--in other
325
        // words, it will be true for many, many, clocks--enough for this
326
        // logic to settle out.
327
        always @(posedge i_clk)
328
                if (refresh_ztimer)
329
                        refresh_cmd <= refresh_instruction[20:0];
330
        always @(posedge i_clk)
331
                if (refresh_ztimer)
332
                        need_refresh <= refresh_instruction[`DDR_NEEDREFRESH];
333
 
334
 
335
/*
336
        input                   i_clk, i_reset;
337
        // Wishbone inputs
338
        input                   i_wb_cyc, i_wb_stb, i_wb_we;
339
        input           [25:0]  i_wb_addr;
340
        input           [31:0]  i_wb_data;
341
        // Wishbone outputs
342
        output  reg             o_wb_ack;
343
        output  reg             o_wb_stall;
344
        output  reg     [31:0]  o_wb_data;
345
        // DDR3 RAM Controller
346
        output  reg             o_ddr_reset_n, o_ddr_cke;
347
        // Control outputs
348
        output  wire            o_ddr_cs_n, o_ddr_ras_n, o_ddr_cas_n,o_ddr_we_n;
349
        // DQS outputs:set to 3'b010 when data is active, 3'b100 (i.e. 2'bzz) ow
350
        output  wire            o_ddr_dqs;
351
        output  reg             o_ddr_odt;
352
        output  wire            o_ddr_bus_oe;
353
        // Address outputs
354
        output  wire    [13:0]  o_ddr_addr;
355
        output  wire    [2:0]   o_ddr_ba;
356
        // And the data inputs and outputs
357
        output  reg     [31:0]  o_ddr_data;
358
        input           [31:0]  i_ddr_data;
359
*/
360
 
361
 
362
        reg     [1:0]    drive_dqs;
363
        // Our chosen timing doesn't require any more resolution than one
364
        // bus clock for ODT.  (Of course, this really isn't necessary, since
365
        // we aren't using ODT as per the MRx registers ... but we keep it
366
        // around in case we change our minds later.)
367
        reg             ddr_odt;
368
        reg     [7:0]    ddr_dm;
369
 
370
        // The pending transaction
371
        reg     [63:0]   r_data;
372
        reg             r_pending, r_we;
373
        reg     [24:0]   r_addr;
374
        reg     [13:0]   r_row;
375
        reg     [2:0]    r_bank;
376
        reg     [9:0]    r_col;
377
        reg             r_sub;
378
        reg     [7:0]    r_sel;
379
 
380
        // The pending transaction, one further into the pipeline.  This is
381
        // the stage where the read/write command is actually given to the
382
        // interface if we haven't stalled.
383
        reg     [63:0]   s_data;
384
        reg             s_pending, s_we; // , s_match;
385
        reg     [24:0]   s_addr;
386
        reg     [13:0]   s_row, s_nxt_row;
387
        reg     [2:0]    s_bank, s_nxt_bank;
388
        reg     [9:0]    s_col;
389
        reg             s_sub;
390
        reg     [7:0]    s_sel;
391
 
392
        // Can the pending transaction be satisfied with the current (ongoing)
393
        // transaction?
394
        reg             m_move, m_match, m_pending, m_we;
395
        reg     [24:0]   m_addr;
396
        reg     [13:0]   m_row;
397
        reg     [2:0]    m_bank;
398
        reg     [9:0]    m_col;
399
        reg     [1:0]    m_sub;
400
 
401
        // Can we preload the next bank?
402
        reg     [13:0]   r_nxt_row;
403
        reg     [2:0]    r_nxt_bank;
404
 
405
        reg     need_close_bank, need_close_this_bank,
406
                        last_close_bank, maybe_close_next_bank,
407
                        last_maybe_close,
408
                need_open_bank, last_open_bank, maybe_open_next_bank,
409
                        last_maybe_open,
410
                valid_bank;
411
        reg     [(`DDR_CMDLEN-1):0]      close_bank_cmd, activate_bank_cmd,
412
                                        maybe_close_cmd, maybe_open_cmd, rw_cmd;
413
        reg             rw_sub;
414
        reg             rw_we;
415
 
416
        wire    w_this_closing_bank, w_this_opening_bank,
417
                w_this_maybe_close, w_this_maybe_open,
418
                w_this_rw_move;
419
        reg     last_closing_bank, last_opening_bank;
420
        wire    w_need_close_this_bank, w_need_open_bank,
421
                w_r_valid, w_s_valid, w_s_match;
422
 
423
//////////
424 2 dgisselq
//
425
//
426 16 dgisselq
//      Open Banks
427
//
428
//
429
//////////
430
//
431
//
432
//
433 2 dgisselq
// Let's keep track of any open banks.  There are 8 of them to keep track of.
434
//
435 16 dgisselq
//      A precharge requires 3 clocks at 200MHz to complete.
436
//      An activate also requires 3 clocks at 200MHz to complete.
437
//      Precharges are not allowed until the maximum of:
438
//              2 clocks (200 MHz) after a read command
439
//              8 clocks after a write command
440 2 dgisselq
//
441
//
442 3 dgisselq
        wire    w_precharge_all;
443 16 dgisselq
        reg     [CKRP:0] bank_status     [0:7];
444 6 dgisselq
        reg     [13:0]   bank_address    [0:7];
445 12 dgisselq
        reg     [3:0]    bank_wr_ck      [0:7]; // tWTR
446
        reg             bank_wr_ckzro   [0:7]; // tWTR
447 14 dgisselq
        reg     [7:0]    bank_open;
448
        reg     [7:0]    bank_closed;
449 6 dgisselq
 
450 12 dgisselq
        wire    [3:0]    write_recycle_clocks;
451 16 dgisselq
        assign  write_recycle_clocks = 4'h8;
452 12 dgisselq
 
453 16 dgisselq
        initial bank_open   = 0;
454 14 dgisselq
        initial bank_closed = 8'hff;
455 2 dgisselq
        always @(posedge i_clk)
456
        begin
457 16 dgisselq
                bank_status[0] <= { bank_status[0][(CKRP-1):0], bank_status[0][0] };
458
                bank_status[1] <= { bank_status[1][(CKRP-1):0], bank_status[1][0] };
459
                bank_status[2] <= { bank_status[2][(CKRP-1):0], bank_status[2][0] };
460
                bank_status[3] <= { bank_status[3][(CKRP-1):0], bank_status[3][0] };
461
                bank_status[4] <= { bank_status[4][(CKRP-1):0], bank_status[4][0] };
462
                bank_status[5] <= { bank_status[5][(CKRP-1):0], bank_status[5][0] };
463
                bank_status[6] <= { bank_status[6][(CKRP-1):0], bank_status[6][0] };
464
                bank_status[7] <= { bank_status[7][(CKRP-1):0], bank_status[7][0] };
465 12 dgisselq
 
466
                bank_wr_ck[0] <= (|bank_wr_ck[0])?(bank_wr_ck[0]-4'h1):4'h0;
467
                bank_wr_ck[1] <= (|bank_wr_ck[1])?(bank_wr_ck[1]-4'h1):4'h0;
468
                bank_wr_ck[2] <= (|bank_wr_ck[2])?(bank_wr_ck[2]-4'h1):4'h0;
469
                bank_wr_ck[3] <= (|bank_wr_ck[3])?(bank_wr_ck[3]-4'h1):4'h0;
470
                bank_wr_ck[4] <= (|bank_wr_ck[4])?(bank_wr_ck[4]-4'h1):4'h0;
471
                bank_wr_ck[5] <= (|bank_wr_ck[5])?(bank_wr_ck[5]-4'h1):4'h0;
472
                bank_wr_ck[6] <= (|bank_wr_ck[6])?(bank_wr_ck[6]-4'h1):4'h0;
473
                bank_wr_ck[7] <= (|bank_wr_ck[7])?(bank_wr_ck[7]-4'h1):4'h0;
474
 
475
                bank_wr_ckzro[0] <= (bank_wr_ck[0][3:1]==3'b00);
476
                bank_wr_ckzro[1] <= (bank_wr_ck[1][3:1]==3'b00);
477
                bank_wr_ckzro[2] <= (bank_wr_ck[2][3:1]==3'b00);
478
                bank_wr_ckzro[3] <= (bank_wr_ck[3][3:1]==3'b00);
479
                bank_wr_ckzro[4] <= (bank_wr_ck[4][3:1]==3'b00);
480
                bank_wr_ckzro[5] <= (bank_wr_ck[5][3:1]==3'b00);
481
                bank_wr_ckzro[6] <= (bank_wr_ck[6][3:1]==3'b00);
482
                bank_wr_ckzro[7] <= (bank_wr_ck[7][3:1]==3'b00);
483
 
484 16 dgisselq
                bank_open[0] <= (bank_status[0][(CKRP-2):0] =={(CKRP-1){1'b1}});
485
                bank_open[1] <= (bank_status[1][(CKRP-2):0] =={(CKRP-1){1'b1}});
486
                bank_open[2] <= (bank_status[2][(CKRP-2):0] =={(CKRP-1){1'b1}});
487
                bank_open[3] <= (bank_status[3][(CKRP-2):0] =={(CKRP-1){1'b1}});
488
                bank_open[4] <= (bank_status[4][(CKRP-2):0] =={(CKRP-1){1'b1}});
489
                bank_open[5] <= (bank_status[5][(CKRP-2):0] =={(CKRP-1){1'b1}});
490
                bank_open[6] <= (bank_status[6][(CKRP-2):0] =={(CKRP-1){1'b1}});
491
                bank_open[7] <= (bank_status[7][(CKRP-2):0] =={(CKRP-1){1'b1}});
492 14 dgisselq
 
493 16 dgisselq
                bank_closed[0] <= (bank_status[0][(CKRP-3):0] == 0);
494
                bank_closed[1] <= (bank_status[1][(CKRP-3):0] == 0);
495
                bank_closed[2] <= (bank_status[2][(CKRP-3):0] == 0);
496
                bank_closed[3] <= (bank_status[3][(CKRP-3):0] == 0);
497
                bank_closed[4] <= (bank_status[4][(CKRP-3):0] == 0);
498
                bank_closed[5] <= (bank_status[5][(CKRP-3):0] == 0);
499
                bank_closed[6] <= (bank_status[6][(CKRP-3):0] == 0);
500
                bank_closed[7] <= (bank_status[7][(CKRP-3):0] == 0);
501 14 dgisselq
 
502 12 dgisselq
                if (w_this_rw_move)
503
                        bank_wr_ck[rw_cmd[16:14]] <= (rw_cmd[`DDR_WEBIT])? 4'h0
504
                                : write_recycle_clocks;
505
 
506 14 dgisselq
                if (maintenance_override)
507 2 dgisselq
                begin
508 6 dgisselq
                        bank_status[0][0] <= 1'b0;
509
                        bank_status[1][0] <= 1'b0;
510
                        bank_status[2][0] <= 1'b0;
511
                        bank_status[3][0] <= 1'b0;
512
                        bank_status[4][0] <= 1'b0;
513
                        bank_status[5][0] <= 1'b0;
514
                        bank_status[6][0] <= 1'b0;
515
                        bank_status[7][0] <= 1'b0;
516 16 dgisselq
                        bank_open   <= 0;
517 14 dgisselq
                        bank_closed <= 8'hff;
518 2 dgisselq
                end else if (need_close_bank)
519
                begin
520 6 dgisselq
                        bank_status[close_bank_cmd[16:14]]
521 16 dgisselq
                                <= { bank_status[close_bank_cmd[16:14]][(CKRP-1):0], 1'b0 };
522 14 dgisselq
                        bank_open[close_bank_cmd[16:14]] <= 1'b0;
523 2 dgisselq
                end else if (need_open_bank)
524
                begin
525 6 dgisselq
                        bank_status[activate_bank_cmd[16:14]]
526 16 dgisselq
                                <= { bank_status[activate_bank_cmd[16:14]][(CKRP-1):0], 1'b1 };
527 14 dgisselq
                        bank_closed[activate_bank_cmd[16:14]] <= 1'b0;
528
                end else if (valid_bank)
529 16 dgisselq
                        ; // Read/write command was issued.  This neither opens
530
                        // nor closes any banks, and hence it needs no logic
531
                        // here
532 6 dgisselq
                else if (maybe_close_next_bank)
533
                begin
534
                        bank_status[maybe_close_cmd[16:14]]
535 16 dgisselq
                                <= { bank_status[maybe_close_cmd[16:14]][(CKRP-1):0], 1'b0 };
536 14 dgisselq
                        bank_open[maybe_close_cmd[16:14]] <= 1'b0;
537 6 dgisselq
                end else if (maybe_open_next_bank)
538
                begin
539
                        bank_status[maybe_open_cmd[16:14]]
540 16 dgisselq
                                <= { bank_status[maybe_open_cmd[16:14]][(CKRP-1):0], 1'b1 };
541 14 dgisselq
                        bank_closed[maybe_open_cmd[16:14]] <= 1'b0;
542 2 dgisselq
                end
543
        end
544
 
545
        always @(posedge i_clk)
546 8 dgisselq
                if (w_this_opening_bank)
547 5 dgisselq
                        bank_address[activate_bank_cmd[16:14]]
548
                                <= activate_bank_cmd[13:0];
549 16 dgisselq
                else if (w_this_maybe_open)
550 8 dgisselq
                        bank_address[maybe_open_cmd[16:14]]
551
                                <= maybe_open_cmd[13:0];
552 2 dgisselq
 
553 16 dgisselq
 
554
//////////
555 2 dgisselq
//
556
//
557 16 dgisselq
//      Data BUS information
558 2 dgisselq
//
559
//
560 16 dgisselq
//////////
561 2 dgisselq
//
562
//
563 16 dgisselq
//      Our purpose here is to keep track of when the data bus will be
564
//      active.  This is separate from the FIFO which will contain the
565
//      data to be placed on the bus (when so placed), in that this is
566
//      a group of shift registers--every position has a location in time,
567
//      and time always moves forward.  The FIFO, on the other hand, only
568
//      moves forward when data moves onto the bus.
569 2 dgisselq
//
570
//
571 16 dgisselq
 
572
        reg     [BUSNOW:0]       bus_active, bus_read, bus_new, bus_ack;
573
        reg     [BUSNOW:0]       bus_subaddr, bus_odt;
574 3 dgisselq
        initial bus_active = 0;
575 14 dgisselq
        initial bus_ack = 0;
576 2 dgisselq
        always @(posedge i_clk)
577
        begin
578 16 dgisselq
                bus_active[BUSNOW:0] <= { bus_active[(BUSNOW-1):0], 1'b0 };
579
                // Drive the d-bus?
580
                bus_read[BUSNOW:0]   <= { bus_read[(BUSNOW-1):0], 1'b0 };
581 9 dgisselq
                // Is this a new command?  i.e., the start of a transaction?
582 16 dgisselq
                bus_new[BUSNOW:0]   <= { bus_new[(BUSNOW-1):0], 1'b0 };
583
                bus_odt[BUSNOW:0]   <= { bus_odt[(BUSNOW-1):0], 1'b0 };
584 13 dgisselq
                // Will this position on the bus get a wishbone acknowledgement?
585 16 dgisselq
                bus_ack[BUSNOW:0]   <= { bus_ack[(BUSNOW-1):0], 1'b0 };
586
                //
587
                bus_subaddr[BUSNOW:0] <= { bus_subaddr[(BUSNOW-1):0], 1'b1 };
588 13 dgisselq
 
589 7 dgisselq
                if (w_this_rw_move)
590 2 dgisselq
                begin
591 16 dgisselq
                        bus_active[1:0]<= 2'h3; // Data transfers in two clocks
592
                        bus_subaddr[1] <= 1'h0;
593 9 dgisselq
                        bus_new[{ 2'b0, rw_sub }] <= 1'b1;
594 16 dgisselq
                        bus_ack[1:0] <= 2'h0;
595 13 dgisselq
                        bus_ack[{ 2'b0, rw_sub }] <= 1'b1;
596 4 dgisselq
 
597 16 dgisselq
                        bus_read[1:0] <= (rw_we)? 2'h0:2'h3;
598
                        bus_odt[3:0]<= (rw_we)? 4'he:4'h0; // Data transfers in 2 clks
599 13 dgisselq
                end else if ((s_pending)&&(!pipe_stall))
600
                begin
601
                        if (bus_subaddr[1] == s_sub)
602
                                bus_ack[2] <= 1'b1;
603 14 dgisselq
                        if (bus_subaddr[0] == s_sub)
604
                                bus_ack[1] <= 1'b1;
605 2 dgisselq
                end
606
        end
607
 
608 13 dgisselq
        // Need to set o_wb_dqs high one clock prior to any read.
609 2 dgisselq
        always @(posedge i_clk)
610 16 dgisselq
        begin
611
                drive_dqs[1] <= (bus_active[(BUSREG)])
612
                        &&(!bus_read[(BUSREG)]);
613
                drive_dqs[0] <= (bus_active[BUSREG:(BUSREG-1)] != 2'b00)
614
                        &&(bus_read[BUSREG:(BUSREG-1)] == 2'b00);
615
        end
616 2 dgisselq
 
617
//
618
//
619
// Now, let's see, can we issue a read command?
620
//
621
//
622 14 dgisselq
        reg     pre_valid;
623
        always @(posedge i_clk)
624
                if ((refresh_ztimer)&&(refresh_instruction[`DDR_NEEDREFRESH]))
625
                        pre_valid <= 1'b0;
626
                else if (need_refresh)
627
                        pre_valid <= 1'b0;
628
                else
629
                        pre_valid <= 1'b1;
630
 
631
        assign  w_r_valid = (pre_valid)&&(r_pending)
632 16 dgisselq
                        &&(bank_status[r_bank][(CKRP-2)])
633 14 dgisselq
                        &&(bank_address[r_bank]==r_row)
634
                        &&((r_we)||(bank_wr_ckzro[r_bank]));
635
        assign  w_s_valid = (pre_valid)&&(s_pending)
636 16 dgisselq
                        &&(bank_status[s_bank][(CKRP-2)])
637 14 dgisselq
                        &&(bank_address[s_bank]==s_row)
638
                        &&((s_we)||(bank_wr_ckzro[s_bank]));
639 9 dgisselq
        assign  w_s_match = (s_pending)&&(r_pending)&&(r_we == s_we)
640
                                &&(r_row == s_row)&&(r_bank == s_bank)
641
                                &&(r_col == s_col)
642 16 dgisselq
                                &&(r_sub)&&(!s_sub);
643 14 dgisselq
 
644 9 dgisselq
        reg     pipe_stall;
645 2 dgisselq
        always @(posedge i_clk)
646
        begin
647 9 dgisselq
                r_pending <= (i_wb_stb)&&(~o_wb_stall)
648
                                ||(r_pending)&&(pipe_stall);
649
                if (~pipe_stall)
650
                        s_pending <= r_pending;
651
                if (~pipe_stall)
652 2 dgisselq
                begin
653 9 dgisselq
                        pipe_stall <= (r_pending)&&(((!w_r_valid)||(valid_bank))&&(!w_s_match));
654
                        o_wb_stall <= (r_pending)&&(((!w_r_valid)||(valid_bank))&&(!w_s_match));
655
                end else begin // if (pipe_stall)
656 16 dgisselq
                        pipe_stall <= (s_pending)&&((!w_s_valid)||(valid_bank));
657
                        o_wb_stall <= (s_pending)&&((!w_s_valid)||(valid_bank));
658 9 dgisselq
                end
659
                if (need_refresh)
660 2 dgisselq
                        o_wb_stall <= 1'b1;
661
 
662 9 dgisselq
                if (~pipe_stall)
663 2 dgisselq
                begin
664
                        r_we   <= i_wb_we;
665
                        r_addr <= i_wb_addr;
666
                        r_data <= i_wb_data;
667 16 dgisselq
                        r_row  <= i_wb_addr[24:11]; // 14 bits row address
668
                        r_bank <= i_wb_addr[10:8];
669
                        r_col  <= { i_wb_addr[7:1], 3'b000 }; // 10 bits Caddr
670
                        r_sub  <= i_wb_addr[0]; // Select which 64-bit word
671
                        r_sel  <= i_wb_sel;
672 2 dgisselq
 
673 16 dgisselq
// i_wb_addr[0] is the  8-bit      byte selector of  16-bits (ignored)
674
// i_wb_addr[1] is the 16-bit half-word selector of  32-bits (ignored)
675
// i_wb_addr[2] is the 32-bit      word selector of  64-bits (ignored)
676
// i_wb_addr[3] is the 64-bit long word selector of 128-bits
677
 
678 2 dgisselq
                        // pre-emptive work
679 16 dgisselq
                        r_nxt_row  <= (i_wb_addr[10:8]==3'h7)
680
                                        ? (i_wb_addr[24:11]+14'h1)
681
                                        : i_wb_addr[24:11];
682
                        r_nxt_bank <= i_wb_addr[10:8]+3'h1;
683 2 dgisselq
                end
684 9 dgisselq
 
685
                if (~pipe_stall)
686
                begin
687
                        // Moving one down the pipeline
688
                        s_we   <= r_we;
689
                        s_addr <= r_addr;
690
                        s_data <= r_data;
691
                        s_row  <= r_row;
692
                        s_bank <= r_bank;
693
                        s_col  <= r_col;
694
                        s_sub  <= r_sub;
695 16 dgisselq
                        s_sel  <= (r_we)?(~r_sel):8'h00;
696 9 dgisselq
 
697
                        // pre-emptive work
698
                        s_nxt_row  <= r_nxt_row;
699
                        s_nxt_bank <= r_nxt_bank;
700
                end
701 2 dgisselq
        end
702
 
703 14 dgisselq
        assign  w_need_close_this_bank = (r_pending)
704
                        &&(bank_open[r_bank])
705 12 dgisselq
                        &&(bank_wr_ckzro[r_bank])
706 9 dgisselq
                        &&(r_row != bank_address[r_bank])
707 14 dgisselq
                        ||(pipe_stall)&&(s_pending)&&(bank_open[s_bank])
708 9 dgisselq
                                &&(s_row != bank_address[s_bank]);
709 14 dgisselq
        assign  w_need_open_bank = (r_pending)&&(bank_closed[r_bank])
710
                        ||(pipe_stall)&&(s_pending)&&(bank_closed[s_bank]);
711 3 dgisselq
 
712 2 dgisselq
        always @(posedge i_clk)
713
        begin
714 6 dgisselq
                need_close_bank <= (w_need_close_this_bank)
715 10 dgisselq
                                &&(!need_open_bank)
716
                                &&(!need_close_bank)
717 14 dgisselq
                                &&(!w_this_closing_bank);
718 2 dgisselq
 
719 14 dgisselq
                maybe_close_next_bank <= (s_pending)
720
                        &&(bank_open[s_nxt_bank])
721
                        &&(bank_wr_ckzro[s_nxt_bank])
722
                        &&(s_nxt_row != bank_address[s_nxt_bank])
723 6 dgisselq
                        &&(!w_this_maybe_close)&&(!last_maybe_close);
724 2 dgisselq
 
725 6 dgisselq
                close_bank_cmd <= { `DDR_PRECHARGE, r_bank, r_row[13:11], 1'b0, r_row[9:0] };
726
                maybe_close_cmd <= { `DDR_PRECHARGE, r_nxt_bank, r_nxt_row[13:11], 1'b0, r_nxt_row[9:0] };
727 2 dgisselq
 
728
 
729 6 dgisselq
                need_open_bank <= (w_need_open_bank)
730 14 dgisselq
                                &&(!w_this_opening_bank);
731 6 dgisselq
                last_open_bank <= (w_this_opening_bank);
732 2 dgisselq
 
733 14 dgisselq
                maybe_open_next_bank <= (s_pending)
734
                        &&(!need_close_bank)
735
                        &&(!need_open_bank)
736
                        &&(bank_closed[s_nxt_bank])
737
                        &&(!w_this_maybe_open); // &&(!last_maybe_open);
738 2 dgisselq
 
739 6 dgisselq
                activate_bank_cmd<= { `DDR_ACTIVATE,  r_bank,     r_row[13:0] };
740
                maybe_open_cmd <= { `DDR_ACTIVATE,r_nxt_bank, r_nxt_row[13:0] };
741 2 dgisselq
 
742
 
743
 
744 14 dgisselq
                valid_bank <= ((w_r_valid)||((pipe_stall)&&(w_s_valid)))
745 16 dgisselq
                                // &&(!last_valid_bank)&&(!r_move)
746 14 dgisselq
                                &&(!w_this_rw_move);
747 2 dgisselq
 
748 9 dgisselq
                if ((s_pending)&&(pipe_stall))
749
                        rw_cmd[`DDR_CSBIT:`DDR_WEBIT] <= (s_we)?`DDR_WRITE:`DDR_READ;
750
                else if (r_pending)
751
                        rw_cmd[`DDR_CSBIT:`DDR_WEBIT] <= (r_we)?`DDR_WRITE:`DDR_READ;
752
                else
753
                        rw_cmd[`DDR_CSBIT:`DDR_WEBIT] <= `DDR_NOOP;
754
                if ((s_pending)&&(pipe_stall))
755
                        rw_cmd[`DDR_WEBIT-1:0] <= { s_bank, 3'h0, 1'b0, s_col };
756
                else
757
                        rw_cmd[`DDR_WEBIT-1:0] <= { r_bank, 3'h0, 1'b0, r_col };
758
                if ((s_pending)&&(pipe_stall))
759 16 dgisselq
                        rw_sub <= 1'b1 - s_sub;
760 9 dgisselq
                else
761 16 dgisselq
                        rw_sub <= 1'b1 - r_sub;
762 9 dgisselq
                if ((s_pending)&&(pipe_stall))
763
                        rw_we <= s_we;
764
                else
765
                        rw_we <= r_we;
766
 
767 2 dgisselq
        end
768
 
769
//
770
//
771
// Okay, let's look at the last assignment in our chain.  It should look
772
// something like:
773
        always @(posedge i_clk)
774 4 dgisselq
                if (i_reset)
775
                        o_ddr_reset_n <= 1'b0;
776
                else if (reset_ztimer)
777
                        o_ddr_reset_n <= reset_instruction[`DDR_RSTBIT];
778 2 dgisselq
        always @(posedge i_clk)
779 4 dgisselq
                if (i_reset)
780
                        o_ddr_cke <= 1'b0;
781
                else if (reset_ztimer)
782
                        o_ddr_cke <= reset_instruction[`DDR_CKEBIT];
783 6 dgisselq
 
784 9 dgisselq
        always @(posedge i_clk)
785
                if (i_reset)
786
                        maintenance_override <= 1'b1;
787
                else
788
                        maintenance_override <= (reset_override)||(need_refresh);
789 7 dgisselq
 
790 9 dgisselq
        initial maintenance_cmd = { `DDR_NOOP, 17'h00 };
791
        always @(posedge i_clk)
792
                if (i_reset)
793
                        maintenance_cmd <= { `DDR_NOOP, 17'h00 };
794
                else
795
                        maintenance_cmd <= (reset_override)?reset_cmd:refresh_cmd;
796
 
797
        assign  w_this_closing_bank = (!maintenance_override)
798 6 dgisselq
                                &&(need_close_bank);
799 9 dgisselq
        assign  w_this_opening_bank = (!maintenance_override)
800 6 dgisselq
                                &&(!need_close_bank)&&(need_open_bank);
801 9 dgisselq
        assign  w_this_rw_move = (!maintenance_override)
802 7 dgisselq
                                &&(!need_close_bank)&&(!need_open_bank)
803 14 dgisselq
                                &&(valid_bank);
804 9 dgisselq
        assign  w_this_maybe_close = (!maintenance_override)
805 6 dgisselq
                                &&(!need_close_bank)&&(!need_open_bank)
806 14 dgisselq
                                &&(!valid_bank)
807 6 dgisselq
                                &&(maybe_close_next_bank);
808 9 dgisselq
        assign  w_this_maybe_open = (!maintenance_override)
809 6 dgisselq
                                &&(!need_close_bank)&&(!need_open_bank)
810 14 dgisselq
                                &&(!valid_bank)
811 6 dgisselq
                                &&(!maybe_close_next_bank)
812
                                &&(maybe_open_next_bank);
813 2 dgisselq
        always @(posedge i_clk)
814
        begin
815 6 dgisselq
                last_opening_bank <= 1'b0;
816
                last_closing_bank <= 1'b0;
817
                last_maybe_open   <= 1'b0;
818
                last_maybe_close  <= 1'b0;
819 16 dgisselq
                cmd_a <= { `DDR_NOOP, 17'h00 };
820
                cmd_b <= { `DDR_NOOP, rw_cmd[(`DDR_WEBIT-1):0] };
821
 
822
                if (maintenance_override)
823
                begin // Command from either reset or refresh logic
824
                        cmd_a <= maintenance_cmd;
825
                        // cmd_b <= { `DDR_NOOP, ...
826
                end else if (need_close_bank)
827 2 dgisselq
                begin
828 16 dgisselq
                        cmd_a <= close_bank_cmd;
829
                        // cmd_b <= { `DDR_NOOP,  ...}
830 6 dgisselq
                        last_closing_bank <= 1'b1;
831
                end else if (need_open_bank)
832
                begin
833 16 dgisselq
                        cmd_a <= activate_bank_cmd;
834
                        // cmd_b <={`DDR_NOOP, ...}
835 6 dgisselq
                        last_opening_bank <= 1'b1;
836 14 dgisselq
                end else if (valid_bank)
837 2 dgisselq
                begin
838 16 dgisselq
                        cmd_a <= {(rw_cmd[(`DDR_WEBIT)])?`DDR_READ:`DDR_NOOP,
839
                                        rw_cmd[(`DDR_WEBIT-1):0] };
840
                        cmd_b <= {(rw_cmd[(`DDR_WEBIT)])?`DDR_NOOP:`DDR_WRITE,
841
                                        rw_cmd[(`DDR_WEBIT-1):0] };
842 6 dgisselq
                end else if (maybe_close_next_bank)
843
                begin
844 16 dgisselq
                        cmd_a <= maybe_close_cmd;
845
                        // cmd_b <= {`DDR_NOOP,  ... }
846 6 dgisselq
                        last_maybe_close <= 1'b1;
847
                end else if (maybe_open_next_bank)
848
                begin
849 16 dgisselq
                        cmd_a <= maybe_open_cmd;
850
                        // cmd_b <= {`DDR_NOOP, ... }
851 6 dgisselq
                        last_maybe_open <= 1'b1;
852 2 dgisselq
                end else
853 16 dgisselq
                        cmd_a <= { `DDR_NOOP, rw_cmd[(`DDR_WEBIT-1):0] };
854 2 dgisselq
        end
855
 
856 14 dgisselq
`define LGFIFOLN        4
857
`define FIFOLEN         16
858 7 dgisselq
        reg     [(`LGFIFOLN-1):0]        bus_fifo_head, bus_fifo_tail;
859 16 dgisselq
        reg     [63:0]   bus_fifo_data   [0:(`FIFOLEN-1)];
860
        reg     [7:0]    bus_fifo_sel    [0:(`FIFOLEN-1)];
861
        reg             bus_fifo_sub    [0:(`FIFOLEN-1)];
862 7 dgisselq
        reg             bus_fifo_new    [0:(`FIFOLEN-1)];
863
        reg             pre_ack;
864 3 dgisselq
 
865 7 dgisselq
        // The bus R/W FIFO
866
        wire    w_bus_fifo_read_next_transaction;
867 16 dgisselq
        assign  w_bus_fifo_read_next_transaction = (bus_ack[BUSREG]);
868 7 dgisselq
        always @(posedge i_clk)
869
        begin
870
                pre_ack <= 1'b0;
871 13 dgisselq
                if (reset_override)
872 7 dgisselq
                begin
873 13 dgisselq
                        bus_fifo_head <= {(`LGFIFOLN){1'b0}};
874
                        bus_fifo_tail <= {(`LGFIFOLN){1'b0}};
875 7 dgisselq
                end else begin
876 13 dgisselq
                        if ((s_pending)&&(!pipe_stall))
877
                                bus_fifo_head <= bus_fifo_head + 1'b1;
878 7 dgisselq
 
879
                        if (w_bus_fifo_read_next_transaction)
880
                        begin
881 13 dgisselq
                                bus_fifo_tail <= bus_fifo_tail + 1'b1;
882 7 dgisselq
                                pre_ack <= 1'b1;
883
                        end
884
                end
885 9 dgisselq
                bus_fifo_data[bus_fifo_head] <= s_data;
886
                bus_fifo_sub[bus_fifo_head] <= s_sub;
887 7 dgisselq
                bus_fifo_new[bus_fifo_head] <= w_this_rw_move;
888 16 dgisselq
                bus_fifo_sel[bus_fifo_head] <= s_sel;
889 7 dgisselq
        end
890
 
891
 
892
        always @(posedge i_clk)
893
                o_ddr_data  <= bus_fifo_data[bus_fifo_tail];
894 16 dgisselq
        always @(posedge i_clk)
895
                ddr_dm   <= (bus_ack[BUSREG])? bus_fifo_sel[bus_fifo_tail]
896
                        : ((!bus_read[BUSREG])? 8'hff: 8'h00);
897
        always @(posedge i_clk)
898
                o_ddr_bus_oe  <= (bus_active[BUSREG])&&(!bus_read[BUSREG]);
899 2 dgisselq
 
900 16 dgisselq
        // First, or left, command
901
        assign  o_ddr_cmd_a = { cmd_a, drive_dqs[1], ddr_dm[7:4], ddr_odt };
902
        // Second, or right, command of two
903
        assign  o_ddr_cmd_b = { cmd_b, drive_dqs[0], ddr_dm[3:0], ddr_odt };
904 2 dgisselq
 
905 16 dgisselq
        assign  w_precharge_all = (cmd_a[`DDR_CSBIT:`DDR_WEBIT]==`DDR_PRECHARGE)
906
                                &&(cmd_a[10]);
907
 
908 4 dgisselq
        // ODT must be in high impedence while reset_n=0, then it can be set
909 13 dgisselq
        // to low or high.  As per spec, ODT = 0 during reads
910
        always @(posedge i_clk)
911 16 dgisselq
                ddr_odt <= bus_odt[BUSREG];
912 2 dgisselq
 
913 7 dgisselq
        always @(posedge i_clk)
914
                o_wb_ack <= pre_ack;
915
        always @(posedge i_clk)
916
                o_wb_data <= i_ddr_data;
917 4 dgisselq
 
918 2 dgisselq
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.