OpenCores
URL https://opencores.org/ocsvn/wbddr3/wbddr3/trunk

Subversion Repositories wbddr3

[/] [wbddr3/] [trunk/] [rtl/] [wbddrsdram.v] - Blame information for rev 8

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dgisselq
////////////////////////////////////////////////////////////////////////////////
2
//
3
// Filename:    wbddrsdram.v
4
//
5
// Project:     OpenArty, an entirely open SoC based upon the Arty platform
6
//
7
// Purpose:     
8
//
9
// Creator:     Dan Gisselquist, Ph.D.
10
//              Gisselquist Technology, LLC
11
//
12
////////////////////////////////////////////////////////////////////////////////
13
//
14
// Copyright (C) 2015-2016, Gisselquist Technology, LLC
15
//
16
// This program is free software (firmware): you can redistribute it and/or
17
// modify it under the terms of  the GNU General Public License as published
18
// by the Free Software Foundation, either version 3 of the License, or (at
19
// your option) any later version.
20
//
21
// This program is distributed in the hope that it will be useful, but WITHOUT
22
// ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or
23
// FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
24
// for more details.
25
//
26
// You should have received a copy of the GNU General Public License along
27
// with this program.  (It's in the $(ROOT)/doc directory, run make with no
28
// target there if the PDF file isn't present.)  If not, see
29
// <http://www.gnu.org/licenses/> for a copy.
30
//
31
// License:     GPL, v3, as defined and found on www.gnu.org,
32
//              http://www.gnu.org/licenses/gpl.html
33
//
34
//
35
////////////////////////////////////////////////////////////////////////////////
36
//
37
//
38
 
39
// Possible commands to the DDR3 memory.  These consist of settings for the
40
// bits: o_wb_cs_n, o_wb_ras_n, o_wb_cas_n, and o_wb_we_n, respectively.
41
`define DDR_MRSET       4'b0000
42
`define DDR_REFRESH     4'b0001
43
`define DDR_PRECHARGE   4'b0010
44
`define DDR_ACTIVATE    4'b0011
45
`define DDR_WRITE       4'b0100
46
`define DDR_READ        4'b0101
47 4 dgisselq
`define DDR_ZQS         4'b0110
48 2 dgisselq
`define DDR_NOOP        4'b0111
49
//`define       DDR_DESELECT    4'b1???
50
//
51
// In this controller, 24-bit commands tend to be passed around.  These 
52
// 'commands' are bit fields.  Here we specify the bits associated with
53
// the bit fields.
54 5 dgisselq
`define DDR_RSTDONE     24      // End the reset sequence?
55
`define DDR_RSTTIMER    23      // Does this reset command take multiple clocks?
56
`define DDR_RSTBIT      22      // Value to place on reset_n
57
`define DDR_CKEBIT      21      // Should this reset command set CKE?
58 7 dgisselq
//
59
// Refresh command bit fields
60
`define DDR_NEEDREFRESH 23
61
`define DDR_RFTIMER     22
62
`define DDR_RFBEGIN     21
63
//
64 5 dgisselq
`define DDR_CMDLEN      21
65
`define DDR_CSBIT       20
66
`define DDR_RASBIT      19
67
`define DDR_CASBIT      18
68
`define DDR_WEBIT       17
69
`define DDR_NOPTIMER    16      // Steal this from BA bits
70 2 dgisselq
`define DDR_BABITS      3       // BABITS are really from 18:16, they are 3 bits
71 3 dgisselq
`define DDR_ADDR_BITS   14
72 7 dgisselq
//
73
`define BUSREG  7
74
`define BUSNOW  8
75 2 dgisselq
 
76 3 dgisselq
module  wbddrsdram(i_clk, i_reset,
77 2 dgisselq
                i_wb_cyc, i_wb_stb, i_wb_we, i_wb_addr, i_wb_data,
78 3 dgisselq
                        o_wb_ack, o_wb_stall, o_wb_data,
79 2 dgisselq
                o_ddr_reset_n, o_ddr_cke,
80
                o_ddr_cs_n, o_ddr_ras_n, o_ddr_cas_n, o_ddr_we_n,
81 4 dgisselq
                o_ddr_dqs, o_ddr_dm, o_ddr_odt, o_ddr_bus_oe,
82
                o_ddr_addr, o_ddr_ba, o_ddr_data, i_ddr_data,
83
                o_cmd_accepted);
84 3 dgisselq
        parameter       CKREFI4 = 13'd6240, // 4 * 7.8us at 200 MHz clock
85 7 dgisselq
                        CKRFC = 320,
86 4 dgisselq
                        CKXPR = CKRFC+5+2; // Clocks per tXPR timeout
87 3 dgisselq
        input                   i_clk, i_reset;
88 2 dgisselq
        // Wishbone inputs
89
        input                   i_wb_cyc, i_wb_stb, i_wb_we;
90
        input           [25:0]   i_wb_addr;
91
        input           [31:0]   i_wb_data;
92
        // Wishbone outputs
93
        output  reg             o_wb_ack;
94
        output  reg             o_wb_stall;
95
        output  reg     [31:0]   o_wb_data;
96
        // DDR3 RAM Controller
97 3 dgisselq
        output  wire            o_ddr_reset_n, o_ddr_cke;
98 2 dgisselq
        // Control outputs
99
        output  reg             o_ddr_cs_n, o_ddr_ras_n, o_ddr_cas_n,o_ddr_we_n;
100
        // DQS outputs:set to 3'b010 when data is active, 3'b100 (i.e. 2'bzz) ow
101 3 dgisselq
        output  wire            o_ddr_dqs;
102 4 dgisselq
        output  reg             o_ddr_dm, o_ddr_odt, o_ddr_bus_oe;
103 2 dgisselq
        // Address outputs
104
        output  reg     [13:0]   o_ddr_addr;
105
        output  reg     [2:0]    o_ddr_ba;
106
        // And the data inputs and outputs
107
        output  reg     [31:0]   o_ddr_data;
108 7 dgisselq
        input           [31:0]   i_ddr_data;
109 4 dgisselq
        // And just for the test bench
110
        output  reg             o_cmd_accepted;
111 2 dgisselq
 
112 4 dgisselq
        always @(posedge i_clk)
113
                o_cmd_accepted <= (i_wb_stb)&&(~o_wb_stall);
114
 
115 3 dgisselq
        reg             drive_dqs;
116
 
117
        // The pending transaction
118
        reg     [31:0]   r_data;
119
        reg             r_pending, r_we;
120
        reg     [25:0]   r_addr;
121 5 dgisselq
        reg     [13:0]   r_row;
122 3 dgisselq
        reg     [2:0]    r_bank;
123
        reg     [9:0]    r_col;
124
        reg     [1:0]    r_sub;
125
        reg             r_move; // It was accepted, and can move to next stage
126
 
127
        // Can the pending transaction be satisfied with the current (ongoing)
128
        // transaction?
129
        reg             m_move, m_match, m_continue, m_pending, m_we;
130
        reg     [25:0]   m_addr;
131 5 dgisselq
        reg     [13:0]   m_row;
132 3 dgisselq
        reg     [2:0]    m_bank;
133
        reg     [9:0]    m_col;
134
        reg     [1:0]    m_sub;
135
 
136
        // Can we preload the next bank?
137 5 dgisselq
        reg     [13:0]   r_nxt_row;
138 3 dgisselq
        reg     [2:0]    r_nxt_bank;
139 6 dgisselq
 
140
        reg     need_close_bank, need_close_this_bank,
141
                        last_close_bank, maybe_close_next_bank,
142
                        last_maybe_close,
143
                need_open_bank, last_open_bank, maybe_open_next_bank,
144
                        last_maybe_open,
145
                valid_bank, last_valid_bank;
146
        reg     [(`DDR_CMDLEN-1):0]      close_bank_cmd, activate_bank_cmd,
147
                                        maybe_close_cmd, maybe_open_cmd, rw_cmd;
148 7 dgisselq
 
149
        wire    w_this_closing_bank, w_this_opening_bank,
150
                w_this_maybe_close, w_this_maybe_open,
151
                w_this_rw_move, w_this_refresh;
152
        reg     last_closing_bank, last_opening_bank;
153 2 dgisselq
//
154
// tWTR = 7.5
155
// tRRD = 7.5
156
// tREFI= 7.8
157
// tFAW = 45
158
// tRTP = 7.5
159
// tCKE = 5.625
160
// tRFC = 160
161
// tRP  = 13.5
162
// tRAS = 36
163
// tRCD = 13.5
164
//
165
// RESET:
166
//      1. Hold o_reset_n = 1'b0; for 200 us, or 40,000 clocks (65536 perhaps?)
167
//              Hold cke low during this time as well
168
//              The clock should be free running into the chip during this time
169
//              Leave command in NOOP state: {cs,ras,cas,we} = 4'h7;
170
//              ODT must be held low
171
//      2. Hold cke low for another 500us, or 100,000 clocks
172
//      3. Raise CKE, continue outputting a NOOP for
173
//              tXPR, tDLLk, and tZQInit
174
//      4. Load MRS2, wait tMRD
175
//      4. Load MRS3, wait tMRD
176
//      4. Load MRS1, wait tMOD
177
// Before using the SDRAM, we'll need to program at least 3 of the mode
178
//      registers, if not all 4. 
179
//   tMOD clocks are required to program the mode registers, during which
180
//      time the RAM must be idle.
181
//
182
// NOOP: CS low, RAS, CAS, and WE high
183
 
184
//
185
// Reset logic should be simple, and is given as follows:
186
// note that it depends upon a ROM memory, reset_mem, and an address into that
187
// memory: reset_address.  Each memory location provides either a "command" to
188
// the DDR3 SDRAM, or a timer to wait until the next command.  Further, the
189
// timer commands indicate whether or not the command during the timer is to
190
// be set to idle, or whether the command is instead left as it was.
191 3 dgisselq
        reg             reset_override, reset_ztimer;
192 6 dgisselq
        reg     [4:0]    reset_address;
193 3 dgisselq
        reg     [(`DDR_CMDLEN-1):0]      reset_cmd, cmd, refresh_cmd;
194 5 dgisselq
        reg     [24:0]   reset_instruction;
195 3 dgisselq
        reg     [16:0]   reset_timer;
196
        initial reset_override = 1'b1;
197 6 dgisselq
        initial reset_address  = 5'h0;
198 2 dgisselq
        always @(posedge i_clk)
199
                if (i_reset)
200
                begin
201
                        reset_override <= 1'b1;
202 5 dgisselq
                        reset_cmd <= { `DDR_NOOP, reset_instruction[16:0]};
203
                end else if (reset_ztimer)
204
                begin
205
                        if (reset_instruction[`DDR_RSTDONE])
206
                                reset_override <= 1'b0;
207
                        reset_cmd <= reset_instruction[20:0];
208
                end
209 2 dgisselq
        always @(posedge i_clk)
210
                if (i_reset)
211
                        o_ddr_cke <= 1'b0;
212 3 dgisselq
                else if ((reset_override)&&(reset_ztimer))
213 2 dgisselq
                        o_ddr_cke <= reset_instruction[`DDR_CKEBIT];
214
 
215 4 dgisselq
        initial reset_ztimer = 1'b0;    // Is the timer zero?
216 5 dgisselq
        initial reset_timer = 17'h02;
217 2 dgisselq
        always @(posedge i_clk)
218
                if (i_reset)
219
                begin
220
                        reset_ztimer <= 1'b0;
221 5 dgisselq
                        reset_timer <= 17'd2;
222 2 dgisselq
                end else if (!reset_ztimer)
223
                begin
224
                        reset_ztimer <= (reset_timer == 17'h01);
225
                        reset_timer <= reset_timer - 17'h01;
226
                end else if (reset_instruction[`DDR_RSTTIMER])
227
                begin
228
                        reset_ztimer <= 1'b0;
229
                        reset_timer <= reset_instruction[16:0];
230
                end
231
 
232 5 dgisselq
        wire    [16:0]   w_ckXPR = CKXPR, w_ckRST = 4, w_ckRP = 3,
233 4 dgisselq
                        w_ckRFC = CKRFC;
234 2 dgisselq
        always @(posedge i_clk)
235 4 dgisselq
                if (i_reset)
236 5 dgisselq
                        reset_instruction <= { 4'h4, `DDR_NOOP, 17'd40_000 };
237
                else if (reset_ztimer) case(reset_address) // RSTDONE, TIMER, CKE, ??
238 4 dgisselq
                // 1. Reset asserted (active low) for 200 us. (@200MHz)
239 6 dgisselq
                5'h0: reset_instruction <= { 4'h4, `DDR_NOOP, 17'd40_000 };
240 4 dgisselq
                // 2. Reset de-asserted, wait 500 us before asserting CKE
241 6 dgisselq
                5'h1: reset_instruction <= { 4'h6, `DDR_NOOP, 17'd100_000 };
242 4 dgisselq
                // 3. Assert CKE, wait minimum of Reset CKE Exit time
243 6 dgisselq
                5'h2: reset_instruction <= { 4'h7, `DDR_NOOP, w_ckXPR };
244 4 dgisselq
                // 4. Look MR2.  (1CK, no TIMER)
245 6 dgisselq
                5'h3: reset_instruction <= { 4'h3, `DDR_MRSET, 3'h2,
246 5 dgisselq
                        3'h0, 2'b00, 1'b0, 1'b0, 1'b1, 3'b0, 3'b0 }; // MRS2
247 4 dgisselq
                // 3. Wait 4 clocks (tMRD)
248 6 dgisselq
                5'h4: reset_instruction <= { 4'h7, `DDR_NOOP, 17'h02 };
249 4 dgisselq
                // 5. Set MR1
250 6 dgisselq
                5'h5: reset_instruction <= { 4'h3, `DDR_MRSET, 3'h1,
251 5 dgisselq
                        1'h0, // Reserved for Future Use (RFU)
252 4 dgisselq
                        1'b0, // Qoff - output buffer enabled
253
                        1'b1, // TDQS ... enabled
254
                        1'b0, // RFU
255
                        1'b0, // High order bit, Rtt_Nom (3'b011)
256
                        1'b0, // RFU
257
                        //
258
                        1'b0, // Disable write-leveling
259
                        1'b1, // Mid order bit of Rtt_Nom
260
                        1'b0, // High order bit of Output Drvr Impedence Ctrl
261
                        2'b0, // Additive latency = 0
262
                        1'b1, // Low order bit of Rtt_Nom
263
                        1'b1, // DIC set to 2'b01
264
                        1'b1 }; // MRS1, DLL enable
265
                // 7. Wait another 4 clocks
266 6 dgisselq
                5'h6: reset_instruction <= { 4'h7, `DDR_NOOP, 17'h02 };
267 4 dgisselq
                // 8. Send MRS0
268 6 dgisselq
                5'h7: reset_instruction <= { 4'h3, `DDR_MRSET, 3'h0,
269 5 dgisselq
                        1'b0, // Reserved for future use
270 4 dgisselq
                        1'b0, // PPD control, (slow exit(DLL off))
271
                        3'b1, // Write recovery for auto precharge
272
                        1'b0, // DLL Reset (No)
273
                        //
274
                        1'b0, // TM mode normal
275
                        3'b01, // High 3-bits, CAS latency (=4'b0010 = 4'd5)
276
                        1'b0, // Read burst type = nibble sequential
277
                        1'b0, // Low bit of cas latency
278
                        2'b0 }; // Burst length = 8 (Fixed)
279
                // 9. Wait tMOD, is max(12 clocks, 15ns)
280 6 dgisselq
                5'h8: reset_instruction <= { 4'h7, `DDR_NOOP, 17'h0a };
281 4 dgisselq
                // 10. Issue a ZQCL command to start ZQ calibration, A10 is high
282 6 dgisselq
                5'h9: reset_instruction <= { 4'h3, `DDR_ZQS, 6'h0, 1'b1, 10'h0};
283 4 dgisselq
                //11.Wait for both tDLLK and tZQinit completed, both are 512 cks
284 6 dgisselq
                5'ha: reset_instruction <= { 4'h7, `DDR_NOOP, 17'd512 };
285 4 dgisselq
                // 12. Precharge all command
286 6 dgisselq
                5'hb: reset_instruction <= { 4'h3, `DDR_PRECHARGE, 6'h0, 1'b1, 10'h0 };
287 4 dgisselq
                // 13. Wait for the precharge to complete
288 6 dgisselq
                5'hc: reset_instruction <= { 4'h7, `DDR_NOOP, w_ckRP };
289 4 dgisselq
                // 14. A single Auto Refresh commands
290 6 dgisselq
                5'hd: reset_instruction <= { 4'h3, `DDR_REFRESH, 17'h00 };
291 4 dgisselq
                // 15. Wait for the auto refresh to complete
292 6 dgisselq
                5'he: reset_instruction <= { 4'h7, `DDR_NOOP, w_ckRFC };
293 4 dgisselq
                // Two Auto Refresh commands
294 2 dgisselq
                default:
295 5 dgisselq
                        reset_instruction <={4'hb, `DDR_NOOP, 17'd00_000 };
296 2 dgisselq
                endcase
297
                // reset_instruction <= reset_mem[reset_address];
298
 
299 6 dgisselq
        initial reset_address = 5'h0;
300 2 dgisselq
        always @(posedge i_clk)
301
                if (i_reset)
302 6 dgisselq
                        reset_address <= 5'h1;
303
                else if ((reset_ztimer)&&(reset_override))
304
                        reset_address <= reset_address + 5'h1;
305 2 dgisselq
//
306
// initial reset_mem =
307
//       0.     !DONE, TIMER,RESET_N=0, CKE=0, CMD = NOOP, TIMER = 200us ( 40,000)
308
//       1.     !DONE, TIMER,RESET_N=1, CKE=0, CMD = NOOP, TIMER = 500us (100,000)
309
//       2.     !DONE, TIMER,RESET_N=1, CKE=1, CMD = NOOP, TIMER = (Look me up)
310
//       3.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = MODE, MRS
311
//       4.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = NOOP, TIMER = tMRS
312
//       5.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = MODE, MRS3
313
//       6.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = NOOP, TIMER = tMRS
314
//       7.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = MODE, MRS1
315
//       8.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = NOOP, TIMER = tMRS
316
//       9.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = MODE, MRS1
317
//      10.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = NOOP, TIMER = tMOD
318
//      11.     !DONE,!TIMER,RESET_N=1, CKE=1, (Pre-charge all)
319
//      12.     !DONE,!TIMER,RESET_N=1, CKE=1, (wait)
320
//      13.     !DONE,!TIMER,RESET_N=1, CKE=1, (Auto-refresh)
321
//      14.     !DONE,!TIMER,RESET_N=1, CKE=1, (Auto-refresh)
322
//      15.     !DONE,!TIMER,RESET_N=1, CKE=1, (wait)
323
 
324
 
325
//
326
//
327
// Let's keep track of any open banks.  There are 8 of them to keep track of.
328
//
329
//      A precharge requires 3 clocks at 200MHz to complete, 2 clocks at 100MHz.
330
//      
331
//
332
//
333 3 dgisselq
        reg     need_refresh;
334 2 dgisselq
 
335 3 dgisselq
        wire    w_precharge_all;
336
        reg     banks_are_closing, all_banks_closed;
337 6 dgisselq
        reg     [3:0]    bank_status     [0:7];
338
        reg     [13:0]   bank_address    [0:7];
339
 
340 2 dgisselq
        always @(posedge i_clk)
341
        begin
342 6 dgisselq
                bank_status[0] <= { bank_status[0][2:0], bank_status[0][0] };
343
                bank_status[1] <= { bank_status[1][2:0], bank_status[1][0] };
344
                bank_status[2] <= { bank_status[2][2:0], bank_status[2][0] };
345
                bank_status[3] <= { bank_status[3][2:0], bank_status[3][0] };
346
                bank_status[4] <= { bank_status[4][2:0], bank_status[4][0] };
347
                bank_status[5] <= { bank_status[5][2:0], bank_status[5][0] };
348
                bank_status[6] <= { bank_status[6][2:0], bank_status[6][0] };
349
                bank_status[7] <= { bank_status[7][2:0], bank_status[7][0] };
350
                all_banks_closed <= (bank_status[0][2:0] == 3'b00)
351
                                        &&(bank_status[1][2:0] == 3'b00)
352
                                        &&(bank_status[2][2:0] == 3'b00)
353
                                        &&(bank_status[3][2:0] == 3'b00)
354
                                        &&(bank_status[4][2:0] == 3'b00)
355
                                        &&(bank_status[5][2:0] == 3'b00)
356
                                        &&(bank_status[6][2:0] == 3'b00)
357
                                        &&(bank_status[7][2:0] == 3'b00);
358 7 dgisselq
                if (reset_override)
359 2 dgisselq
                begin
360 6 dgisselq
                        bank_status[0][0] <= 1'b0;
361
                        bank_status[1][0] <= 1'b0;
362
                        bank_status[2][0] <= 1'b0;
363
                        bank_status[3][0] <= 1'b0;
364
                        bank_status[4][0] <= 1'b0;
365
                        bank_status[5][0] <= 1'b0;
366
                        bank_status[6][0] <= 1'b0;
367
                        bank_status[7][0] <= 1'b0;
368 2 dgisselq
                        banks_are_closing <= 1'b1;
369 7 dgisselq
                end else if ((need_refresh)||(w_precharge_all))
370
                begin
371
                        bank_status[0][0] <= 1'b0;
372
                        bank_status[1][0] <= 1'b0;
373
                        bank_status[2][0] <= 1'b0;
374
                        bank_status[3][0] <= 1'b0;
375
                        bank_status[4][0] <= 1'b0;
376
                        bank_status[5][0] <= 1'b0;
377
                        bank_status[6][0] <= 1'b0;
378
                        bank_status[7][0] <= 1'b0;
379
                        banks_are_closing <= 1'b1;
380 2 dgisselq
                end else if (need_close_bank)
381
                begin
382 6 dgisselq
                        bank_status[close_bank_cmd[16:14]]
383 8 dgisselq
                                <= { bank_status[close_bank_cmd[16:14]][2:0], 1'b0 };
384 6 dgisselq
                        // bank_status[close_bank_cmd[16:14]][0] <= 1'b0;
385 2 dgisselq
                end else if (need_open_bank)
386
                begin
387 6 dgisselq
                        bank_status[activate_bank_cmd[16:14]]
388
                                <= { bank_status[activate_bank_cmd[16:14]][2:0], 1'b1 };
389
                        // bank_status[activate_bank_cmd[16:14]][0] <= 1'b1;
390 2 dgisselq
                        all_banks_closed <= 1'b0;
391
                        banks_are_closing <= 1'b0;
392 6 dgisselq
                end else if ((valid_bank)&&(!r_move))
393
                        ;
394
                else if (maybe_close_next_bank)
395
                begin
396
                        bank_status[maybe_close_cmd[16:14]]
397 8 dgisselq
                                <= { bank_status[maybe_close_cmd[16:14]][2:0], 1'b0 };
398 6 dgisselq
                end else if (maybe_open_next_bank)
399
                begin
400
                        bank_status[maybe_open_cmd[16:14]]
401
                                <= { bank_status[maybe_open_cmd[16:14]][2:0], 1'b1 };
402
                        // bank_status[activate_bank_cmd[16:14]][0] <= 1'b1;
403
                        all_banks_closed <= 1'b0;
404
                        banks_are_closing <= 1'b0;
405 2 dgisselq
                end
406
        end
407
 
408
        always @(posedge i_clk)
409 3 dgisselq
                // if (cmd[22:19] == `DDR_ACTIVATE)
410 8 dgisselq
                if (w_this_opening_bank)
411 5 dgisselq
                        bank_address[activate_bank_cmd[16:14]]
412
                                <= activate_bank_cmd[13:0];
413 8 dgisselq
                else if (!w_this_maybe_open)
414
                        bank_address[maybe_open_cmd[16:14]]
415
                                <= maybe_open_cmd[13:0];
416 2 dgisselq
 
417
//
418
//
419
// Okay, let's investigate when we need to do a refresh.  Our plan will be to
420
// do 4 refreshes every tREFI*4 seconds.  tREFI = 7.8us, but its a parameter
421
// in the number of clocks so that we can handle both 100MHz and 200MHz clocks.
422
//
423
// Note that 160ns are needed between refresh commands (JEDEC, p172), or
424
// 320 clocks @200MHz, or equivalently 160 clocks @100MHz.  Thus to issue 4
425
// of these refresh cycles will require 4*320=1280 clocks@200 MHz.  After this
426
// time, no more refreshes will be needed for 6240 clocks.
427
//
428
// Let's think this through:
429
//      REFRESH_COST = (n*(320)+24)/(n*1560)
430
// 
431
//
432
//
433 7 dgisselq
        reg             refresh_ztimer;
434
        reg     [16:0]   refresh_counter;
435
        reg     [3:0]    refresh_addr;
436
        reg     [23:0]   refresh_instruction;
437 2 dgisselq
        always @(posedge i_clk)
438 7 dgisselq
                if (reset_override)
439
                        refresh_addr <= 4'hf;
440
                else if (refresh_ztimer)
441
                        refresh_addr <= refresh_addr + 1;
442
                else if (refresh_instruction[`DDR_RFBEGIN])
443
                        refresh_addr <= 4'h0;
444 6 dgisselq
 
445 2 dgisselq
        always @(posedge i_clk)
446 7 dgisselq
                if (reset_override)
447
                begin
448
                        refresh_ztimer <= 1'b1;
449
                        refresh_counter <= 17'd0;
450
                end else if (!refresh_ztimer)
451
                begin
452
                        refresh_ztimer <= (refresh_counter == 17'h1);
453
                        refresh_counter <= (refresh_counter - 17'h1);
454
                end else if (refresh_instruction[`DDR_RFTIMER])
455
                begin
456
                        refresh_ztimer <= 1'b0;
457
                        refresh_counter <= refresh_instruction[16:0];
458
                end
459 2 dgisselq
 
460 7 dgisselq
        wire    [16:0]   w_ckREFIn, w_ckREFRst;
461
        assign  w_ckREFIn[ 12: 0] = CKREFI4-5*CKRFC-2-10;
462
        assign  w_ckREFIn[ 16:13] = 4'h0;
463 8 dgisselq
        assign  w_ckREFRst[12: 0] = CKRFC-2-12;
464 7 dgisselq
        assign  w_ckREFRst[16:13] = 4'h0;
465
 
466 2 dgisselq
        always @(posedge i_clk)
467 7 dgisselq
                if (reset_override)
468
                        refresh_instruction <= { 3'h0, `DDR_NOOP, w_ckREFIn };
469
                else if (refresh_ztimer)
470
                        refresh_cmd <= refresh_instruction[20:0];
471 2 dgisselq
        always @(posedge i_clk)
472 7 dgisselq
                if (reset_override)
473
                        need_refresh <= 1'b0;
474
                else if (refresh_ztimer)
475
                        need_refresh <= refresh_instruction[`DDR_NEEDREFRESH];
476 2 dgisselq
 
477
        always @(posedge i_clk)
478 7 dgisselq
        if (refresh_ztimer)
479
                case(refresh_addr)//NEED-RFC, HAVE-TIMER, 
480
                4'h0: refresh_instruction <= { 3'h2, `DDR_NOOP, w_ckREFIn };
481
                // 17'd10 = time to complete write, plus write recovery time
482
                //              minus two (cause we can't count zero or one)
483
                //      = WL+4+tWR-2 = 10
484
                //      = 5+4+3-2 = 10
485
                4'h1: refresh_instruction <= { 3'h6, `DDR_NOOP, 17'd10 };
486
                4'h2: refresh_instruction <= { 3'h4, `DDR_PRECHARGE, 17'h0400 };
487
                4'h3: refresh_instruction <= { 3'h6, `DDR_NOOP, 17'd2 };
488
                4'h4: refresh_instruction <= { 3'h4, `DDR_REFRESH, 17'h00 };
489
                4'h5: refresh_instruction <= { 3'h6, `DDR_NOOP, w_ckRFC };
490
                4'h6: refresh_instruction <= { 3'h4, `DDR_REFRESH, 17'h00 };
491
                4'h7: refresh_instruction <= { 3'h6, `DDR_NOOP, w_ckRFC };
492
                4'h8: refresh_instruction <= { 3'h4, `DDR_REFRESH, 17'h00 };
493
                4'h9: refresh_instruction <= { 3'h6, `DDR_NOOP, w_ckRFC };
494
                4'ha: refresh_instruction <= { 3'h4, `DDR_REFRESH, 17'h00 };
495
                4'hb: refresh_instruction <= { 3'h6, `DDR_NOOP, w_ckRFC };
496 8 dgisselq
                4'hc: refresh_instruction <= { 3'h2, `DDR_NOOP, w_ckREFRst };
497 7 dgisselq
                default:
498
                        refresh_instruction <= { 3'h1, `DDR_NOOP, 17'h00 };
499
                endcase
500 2 dgisselq
 
501
 
502
//
503
//
504
//      Let's track: when will our bus be active?  When will we be reading or
505
//      writing?
506
//
507
//
508 7 dgisselq
        reg     [`BUSNOW:0]      bus_active, bus_read, bus_new;
509
        reg     [1:0]    bus_subaddr     [`BUSNOW:0];
510 3 dgisselq
        initial bus_active = 0;
511 2 dgisselq
        always @(posedge i_clk)
512
        begin
513 7 dgisselq
                bus_active[`BUSNOW:0] <= { bus_active[(`BUSNOW-1):0], 1'b0 };
514
                bus_read[`BUSNOW:0]   <= { bus_read[(`BUSNOW-1):0], 1'b0 }; // Drive the d-bus?
515
                bus_new[`BUSNOW:0]   <= { bus_new[(`BUSNOW-1):0], 1'b0 }; // Drive the d-bus?
516 3 dgisselq
                //bus_mask[8:0] <= { bus_mask[7:0], 1'b1 }; // Write this value?
517 2 dgisselq
                bus_subaddr[8]  <= bus_subaddr[7];
518
                bus_subaddr[7]  <= bus_subaddr[6];
519
                bus_subaddr[6]  <= bus_subaddr[5];
520
                bus_subaddr[5]  <= bus_subaddr[4];
521
                bus_subaddr[4]  <= bus_subaddr[3];
522
                bus_subaddr[3]  <= bus_subaddr[2];
523
                bus_subaddr[2]  <= bus_subaddr[1];
524
                bus_subaddr[1]  <= bus_subaddr[0];
525
                bus_subaddr[0]  <= 2'h3;
526 7 dgisselq
                if (w_this_rw_move)
527 2 dgisselq
                begin
528
                        bus_active[3:0]<= 4'hf; // Once per clock
529
                        bus_read[3:0]  <= 4'hf; // These will be reads
530
                        bus_subaddr[3] <= 2'h0;
531
                        bus_subaddr[2] <= 2'h1;
532
                        bus_subaddr[1] <= 2'h2;
533 7 dgisselq
                        bus_new[{ 2'b0, (2'h3-r_sub) }] <= 1'b1;
534 4 dgisselq
 
535
                        bus_read[3:0] <= (r_we)? 4'h0:4'hf;
536 2 dgisselq
                end
537
        end
538
 
539
        always @(posedge i_clk)
540 7 dgisselq
                drive_dqs <= (~bus_read[`BUSREG])&&(|bus_active[`BUSREG]);
541 2 dgisselq
 
542
//
543
//
544
// Now, let's see, can we issue a read command?
545
//
546
//
547
        always @(posedge i_clk)
548
        begin
549
                if ((i_wb_stb)&&(~o_wb_stall))
550
                begin
551 3 dgisselq
                        r_pending <= 1'b1;
552 2 dgisselq
                        o_wb_stall <= 1'b1;
553 7 dgisselq
                end else if ((m_move)||(w_this_rw_move))
554 2 dgisselq
                begin
555 3 dgisselq
                        r_pending <= 1'b0;
556 2 dgisselq
                        o_wb_stall <= 1'b0;
557
                end
558
 
559
                if (~o_wb_stall)
560
                begin
561
                        r_we   <= i_wb_we;
562
                        r_addr <= i_wb_addr;
563
                        r_data <= i_wb_data;
564 5 dgisselq
                        r_row  <= i_wb_addr[25:12];
565
                        r_bank <= i_wb_addr[11:9];
566
                        r_col  <= { i_wb_addr[8:2], 3'b000 }; // 9:2
567 2 dgisselq
                        r_sub  <= i_wb_addr[1:0];
568
 
569
                        // pre-emptive work
570 6 dgisselq
                        r_nxt_row  <= (i_wb_addr[11:9]==3'h7)?i_wb_addr[25:12]+14'h1:i_wb_addr[25:12];
571 5 dgisselq
                        r_nxt_bank <= i_wb_addr[11:9]+3'h1;
572 2 dgisselq
                end
573
        end
574
 
575 6 dgisselq
        wire    w_need_close_this_bank, w_need_open_bank;
576
        assign  w_need_close_this_bank = (r_pending)&&(bank_status[r_bank][0])
577
                        &&(r_row != bank_address[r_bank]);
578
        assign  w_need_open_bank = (r_pending)&&(bank_status[r_bank][1:0]==2'b00);
579 3 dgisselq
 
580 2 dgisselq
        always @(posedge i_clk)
581
        begin
582 6 dgisselq
                need_close_bank <= (w_need_close_this_bank)
583
                                &&(!w_this_closing_bank)&&(!last_closing_bank);
584 2 dgisselq
 
585
                maybe_close_next_bank <= (r_pending)
586 6 dgisselq
                        &&(bank_status[r_nxt_bank][0])
587 2 dgisselq
                        &&(r_nxt_row != bank_address[r_nxt_bank])
588 6 dgisselq
                        &&(!w_this_maybe_close)&&(!last_maybe_close);
589 2 dgisselq
 
590 6 dgisselq
                close_bank_cmd <= { `DDR_PRECHARGE, r_bank, r_row[13:11], 1'b0, r_row[9:0] };
591
                maybe_close_cmd <= { `DDR_PRECHARGE, r_nxt_bank, r_nxt_row[13:11], 1'b0, r_nxt_row[9:0] };
592 2 dgisselq
 
593
 
594 6 dgisselq
                need_open_bank <= (w_need_open_bank)
595
                                &&(!w_this_opening_bank)&&(!last_opening_bank);
596
                last_open_bank <= (w_this_opening_bank);
597 2 dgisselq
 
598
                maybe_open_next_bank <= (r_pending)
599 6 dgisselq
                        &&(bank_status[r_bank][0] == 1'b1)
600
                        &&(bank_status[r_nxt_bank][1:0] == 2'b00)
601
                        &&(!w_this_maybe_open)&&(!last_maybe_open);
602
                last_maybe_open <= (w_this_maybe_open);
603 2 dgisselq
 
604 6 dgisselq
                activate_bank_cmd<= { `DDR_ACTIVATE,  r_bank,     r_row[13:0] };
605
                maybe_open_cmd <= { `DDR_ACTIVATE,r_nxt_bank, r_nxt_row[13:0] };
606 2 dgisselq
 
607
 
608
 
609 6 dgisselq
                valid_bank <= (r_pending)&&(bank_status[r_bank][3])
610 2 dgisselq
                                &&(bank_address[r_bank]==r_row)
611 6 dgisselq
                                &&(!last_valid_bank)&&(!r_move)
612
                                &&(!bus_active[0]);
613
                last_valid_bank <= r_move;
614 2 dgisselq
 
615
                rw_cmd[`DDR_CSBIT:`DDR_WEBIT] <= (~r_pending)?`DDR_NOOP:((r_we)?`DDR_WRITE:`DDR_READ);
616 5 dgisselq
                rw_cmd[`DDR_WEBIT-1:0] <= { r_bank, 3'h0, 1'b0, r_col };
617 2 dgisselq
        end
618
 
619
 
620
        // Match registers, to see if we can move forward without sending a
621
        // new command
622 7 dgisselq
        reg     [2:0]    m_clock;
623
        reg             m_timeout;
624 2 dgisselq
        always @(posedge i_clk)
625
        begin
626 7 dgisselq
                if (|m_clock)
627
                        m_clock <= m_clock - 3'h1;
628
                if (!m_timeout)
629
                        m_timeout <= (m_clock[2:1] == 2'b00);
630
                if (w_this_rw_move)
631 2 dgisselq
                begin
632
                        m_pending <= r_pending;
633
                        m_we   <= r_we;
634
                        m_addr <= r_addr;
635
                        m_row  <= r_row;
636
                        m_bank <= r_bank;
637
                        m_col  <= r_col;
638
                        m_sub  <= r_sub;
639 7 dgisselq
                        m_clock<= 3'h7;
640
                        m_timeout <= 1'b0;
641
                end else if ((m_match)&&(!m_timeout))
642 2 dgisselq
                        m_sub <= r_sub;
643
 
644 3 dgisselq
                m_match <= (m_pending)&&(r_pending)&&(r_we == m_we)
645 2 dgisselq
                                &&(r_row == m_row)&&(r_bank == m_bank)
646
                                &&(r_col == m_col)
647
                                &&(r_sub > m_sub);
648 3 dgisselq
                m_continue <= (m_pending)&&(r_pending)&&(r_we == m_we)
649 2 dgisselq
                                &&(r_row == m_row)&&(r_bank == m_bank)
650 3 dgisselq
                                &&(r_col == m_col+10'h1);
651
                // m_nextbank <= (m_pending)&&(r_pending)&&(r_we == m_we)
652
                //              &&(r_row == m_row)&&(r_bank == m_bank);
653 2 dgisselq
        end
654
 
655
//
656
//
657
// Okay, let's look at the last assignment in our chain.  It should look
658
// something like:
659
        always @(posedge i_clk)
660 4 dgisselq
                if (i_reset)
661
                        o_ddr_reset_n <= 1'b0;
662
                else if (reset_ztimer)
663
                        o_ddr_reset_n <= reset_instruction[`DDR_RSTBIT];
664 2 dgisselq
        always @(posedge i_clk)
665 4 dgisselq
                if (i_reset)
666
                        o_ddr_cke <= 1'b0;
667
                else if (reset_ztimer)
668
                        o_ddr_cke <= reset_instruction[`DDR_CKEBIT];
669 6 dgisselq
 
670 7 dgisselq
        assign  w_this_refresh = (!reset_override)&&(need_refresh)
671
                        &&(refresh_cmd[`DDR_CSBIT:`DDR_WEBIT] == `DDR_REFRESH);
672
 
673 6 dgisselq
        assign  w_this_closing_bank = (!reset_override)&&(!need_refresh)
674
                                &&(need_close_bank);
675
        assign  w_this_opening_bank = (!reset_override)&&(!need_refresh)
676
                                &&(!need_close_bank)&&(need_open_bank);
677 7 dgisselq
        assign  w_this_rw_move = (!reset_override)&&(!need_refresh)
678
                                &&(!need_close_bank)&&(!need_open_bank)
679
                                &&(valid_bank)&&(!r_move);
680 6 dgisselq
        assign  w_this_maybe_close = (!reset_override)&&(!need_refresh)
681
                                &&(!need_close_bank)&&(!need_open_bank)
682
                                &&((!valid_bank)||(r_move))
683
                                &&(maybe_close_next_bank);
684
        assign  w_this_maybe_open = (!reset_override)&&(!need_refresh)
685
                                &&(!need_close_bank)&&(!need_open_bank)
686
                                &&((!valid_bank)||(r_move))
687
                                &&(!maybe_close_next_bank)
688
                                &&(maybe_open_next_bank);
689 2 dgisselq
        always @(posedge i_clk)
690
        begin
691 6 dgisselq
                last_opening_bank <= 1'b0;
692
                last_closing_bank <= 1'b0;
693
                last_maybe_open   <= 1'b0;
694
                last_maybe_close  <= 1'b0;
695 2 dgisselq
                r_move <= 1'b0;
696
                if (reset_override)
697 3 dgisselq
                        cmd <= reset_cmd[`DDR_CSBIT:0];
698 2 dgisselq
                else if (need_refresh)
699
                begin
700
                        cmd <= refresh_cmd; // The command from the refresh logc
701
                end else if (need_close_bank)
702 6 dgisselq
                begin
703 2 dgisselq
                        cmd <= close_bank_cmd;
704 6 dgisselq
                        last_closing_bank <= 1'b1;
705
                end else if (need_open_bank)
706
                begin
707 2 dgisselq
                        cmd <= activate_bank_cmd;
708 6 dgisselq
                        last_opening_bank <= 1'b1;
709
                end else if ((valid_bank)&&(!r_move))
710 2 dgisselq
                begin
711
                        cmd <= rw_cmd;
712
                        r_move <= 1'b1;
713 6 dgisselq
                end else if (maybe_close_next_bank)
714
                begin
715
                        cmd <= maybe_close_cmd;
716
                        last_maybe_close <= 1'b1;
717
                end else if (maybe_open_next_bank)
718
                begin
719
                        cmd <= maybe_open_cmd;
720
                        last_maybe_open <= 1'b1;
721 2 dgisselq
                end else
722 4 dgisselq
                        cmd <= { `DDR_NOOP, rw_cmd[(`DDR_WEBIT-1):0] };
723 2 dgisselq
        end
724
 
725 7 dgisselq
`define LGFIFOLN        4
726
`define FIFOLEN         16
727
        reg     [(`LGFIFOLN-1):0]        bus_fifo_head, bus_fifo_tail;
728
        reg     [31:0]   bus_fifo_data   [0:(`FIFOLEN-1)];
729
        reg     [1:0]    bus_fifo_sub    [0:(`FIFOLEN-1)];
730
        reg             bus_fifo_new    [0:(`FIFOLEN-1)];
731
        reg             pre_ack;
732 3 dgisselq
 
733 7 dgisselq
        // The bus R/W FIFO
734
        wire    w_bus_fifo_read_next_transaction;
735
        assign  w_bus_fifo_read_next_transaction = (bus_fifo_sub[bus_fifo_tail]==bus_subaddr[`BUSREG])&&(bus_active[`BUSREG])&&(bus_new[`BUSREG] == bus_fifo_new[bus_fifo_tail]);
736
        always @(posedge i_clk)
737
        begin
738
                pre_ack <= 1'b0;
739
                o_ddr_dm <= 1'b0;
740
                if ((i_reset)||(reset_override))
741
                begin
742
                        bus_fifo_head <= 4'h0;
743
                        bus_fifo_tail <= 4'h0;
744
                        o_ddr_dm <= 1'b0;
745
                end else begin
746
                        if ((w_this_rw_move)||(m_move))
747
                                bus_fifo_head <= bus_fifo_head + 4'h1;
748
 
749
                        o_ddr_dm <= (bus_active[`BUSREG])&&(!bus_read[`BUSREG]);
750
                        if (w_bus_fifo_read_next_transaction)
751
                        begin
752
                                bus_fifo_tail <= bus_fifo_tail + 4'h1;
753
                                pre_ack <= 1'b1;
754
                                o_ddr_dm <= 1'b0;
755
                        end
756
                end
757
                bus_fifo_data[bus_fifo_head] <= r_data;
758
                bus_fifo_sub[bus_fifo_head] <= r_sub;
759
                bus_fifo_new[bus_fifo_head] <= w_this_rw_move;
760
        end
761
 
762
 
763 3 dgisselq
        assign  o_ddr_cs_n  = cmd[`DDR_CSBIT];
764
        assign  o_ddr_ras_n = cmd[`DDR_RASBIT];
765
        assign  o_ddr_cas_n = cmd[`DDR_CASBIT];
766
        assign  o_ddr_we_n  = cmd[`DDR_WEBIT];
767 2 dgisselq
        assign  o_ddr_dqs   = drive_dqs;
768 3 dgisselq
        assign  o_ddr_addr  = cmd[(`DDR_ADDR_BITS-1):0];
769
        assign  o_ddr_ba    = cmd[(`DDR_BABITS+`DDR_ADDR_BITS-1):`DDR_ADDR_BITS];
770 7 dgisselq
        always @(posedge i_clk)
771
                o_ddr_data  <= bus_fifo_data[bus_fifo_tail];
772 3 dgisselq
        assign  w_precharge_all = (cmd[`DDR_CSBIT:`DDR_WEBIT]==`DDR_PRECHARGE)
773 2 dgisselq
                                &&(o_ddr_addr[10]); // 5 bits
774
 
775
        // Need to set o_wb_dqs high one clock prior to any read.
776
        // As per spec, ODT = 0 during reads
777 7 dgisselq
        assign  o_ddr_bus_oe = ~bus_read[`BUSNOW];
778 2 dgisselq
 
779 4 dgisselq
        // ODT must be in high impedence while reset_n=0, then it can be set
780
        // to low or high.
781
        assign  o_ddr_odt = o_ddr_bus_oe;
782 2 dgisselq
 
783 7 dgisselq
        always @(posedge i_clk)
784
                o_wb_ack <= pre_ack;
785
        always @(posedge i_clk)
786
                o_wb_data <= i_ddr_data;
787 4 dgisselq
 
788 2 dgisselq
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.