OpenCores
URL https://opencores.org/ocsvn/wdsp/wdsp/trunk

Subversion Repositories wdsp

[/] [wdsp/] [trunk/] [rtl/] [verilog/] [minsoc/] [wb_conmax/] [tags/] [start/] [bench/] [verilog/] [wb_slv_model.v] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 parrado
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3
////  WISHBONE Slave Model                                       ////
4
////                                                             ////
5
////                                                             ////
6
////  Author: Rudolf Usselmann                                   ////
7
////          rudi@asics.ws                                      ////
8
////                                                             ////
9
////                                                             ////
10
////  Downloaded from: http://www.opencores.org/cores/wb_dma/    ////
11
////                                                             ////
12
/////////////////////////////////////////////////////////////////////
13
////                                                             ////
14
//// Copyright (C) 2001 Rudolf Usselmann                         ////
15
////                    rudi@asics.ws                            ////
16
////                                                             ////
17
//// This source file may be used and distributed without        ////
18
//// restriction provided that this copyright statement is not   ////
19
//// removed from the file and that any derivative work contains ////
20
//// the original copyright notice and the associated disclaimer.////
21
////                                                             ////
22
////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
23
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
24
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
25
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
26
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
27
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
28
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
29
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
30
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
31
//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
32
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
33
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
34
//// POSSIBILITY OF SUCH DAMAGE.                                 ////
35
////                                                             ////
36
/////////////////////////////////////////////////////////////////////
37
 
38
//  CVS Log
39
//
40
//  $Id: wb_slv_model.v,v 1.1.1.1 2001-10-19 11:04:25 rudi Exp $
41
//
42
//  $Date: 2001-10-19 11:04:25 $
43
//  $Revision: 1.1.1.1 $
44
//  $Author: rudi $
45
//  $Locker:  $
46
//  $State: Exp $
47
//
48
// Change History:
49
//               $Log: not supported by cvs2svn $
50
//               Revision 1.1  2001/07/29 08:57:02  rudi
51
//
52
//
53
//               1) Changed Directory Structure
54
//               2) Added restart signal (REST)
55
//
56
//               Revision 1.1.1.1  2001/03/19 13:11:29  rudi
57
//               Initial Release
58
//
59
//
60
//
61
 
62
`include "wb_model_defines.v"
63
 
64
module wb_slv(clk, rst, adr, din, dout, cyc, stb, sel, we, ack, err, rty);
65
 
66
input           clk, rst;
67
input   [31:0]   adr, din;
68
output  [31:0]   dout;
69
input           cyc, stb;
70
input   [3:0]    sel;
71
input           we;
72
output          ack, err, rty;
73
 
74
////////////////////////////////////////////////////////////////////
75
//
76
// Local Wires
77
//
78
 
79
parameter       mem_size = 13;
80
parameter       sz = (1<<mem_size)-1;
81
 
82
reg     [31:0]   mem[sz:0];
83
wire            mem_re, mem_we;
84
wire    [31:0]   tmp;
85
reg     [31:0]   dout, tmp2;
86
 
87
reg             err, rty;
88
reg     [31:0]   del_ack;
89
reg     [5:0]    delay;
90
 
91
////////////////////////////////////////////////////////////////////
92
//
93
// Memory Logic
94
//
95
 
96
initial
97
   begin
98
        delay = 0;
99
        err = 0;
100
        rty = 0;
101
        #2;
102
        $display("\nINFO: WISHBONE MEMORY MODEL INSTANTIATED (%m)");
103
        $display("      Memory Size %0d address lines %0d words\n",
104
        mem_size, sz+1);
105
   end
106
 
107
assign mem_re = cyc & stb & !we;
108
assign mem_we = cyc & stb &  we;
109
 
110
assign  tmp = mem[adr[mem_size+1:2]];
111
 
112
always @(sel or tmp or mem_re or ack)
113
        if(mem_re & ack)
114
           begin
115
                dout[31:24] <= #1 sel[3] ? tmp[31:24] : 8'hxx;
116
                dout[23:16] <= #1 sel[2] ? tmp[23:16] : 8'hxx;
117
                dout[15:08] <= #1 sel[1] ? tmp[15:08] : 8'hxx;
118
                dout[07:00] <= #1 sel[0] ? tmp[07:00] : 8'hxx;
119
           end
120
        else    dout <= #1 32'hzzzz_zzzz;
121
 
122
 
123
always @(sel or tmp or din)
124
   begin
125
        tmp2[31:24] = !sel[3] ? tmp[31:24] : din[31:24];
126
        tmp2[23:16] = !sel[2] ? tmp[23:16] : din[23:16];
127
        tmp2[15:08] = !sel[1] ? tmp[15:08] : din[15:08];
128
        tmp2[07:00] = !sel[0] ? tmp[07:00] : din[07:00];
129
   end
130
 
131
always @(posedge clk)
132
        if(mem_we)      mem[adr[mem_size+1:2]] <= #1 tmp2;
133
 
134
always @(posedge clk)
135
        del_ack = ack ? 0 : {del_ack[30:0], (mem_re | mem_we)};
136
 
137
assign  #1 ack = cyc & ((delay==0) ? (mem_re | mem_we) : del_ack[delay-1]);
138
 
139
task fill_mem;
140
input           mode;
141
 
142
integer         n, mode;
143
 
144
begin
145
 
146
for(n=0;n<(sz+1);n=n+1)
147
   begin
148
        case(mode)
149
           0:    mem[n] = { ~n[15:0], n[15:0] };
150
           1:   mem[n] = $random;
151
        endcase
152
   end
153
 
154
end
155
endtask
156
 
157
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.