OpenCores
URL https://opencores.org/ocsvn/wdsp/wdsp/trunk

Subversion Repositories wdsp

[/] [wdsp/] [trunk/] [rtl/] [verilog/] [minsoc/] [wb_conmax/] [tags/] [start/] [rtl/] [verilog/] [wb_conmax_top.v] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 parrado
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3
////  WISHBONE Connection Matrix Top Level                       ////
4
////                                                             ////
5
////                                                             ////
6
////  Author: Rudolf Usselmann                                   ////
7
////          rudi@asics.ws                                      ////
8
////                                                             ////
9
////                                                             ////
10
////  Downloaded from: http://www.opencores.org/cores/wb_ic/     ////
11
////                                                             ////
12
/////////////////////////////////////////////////////////////////////
13
////                                                             ////
14
//// Copyright (C) 2001 Rudolf Usselmann                         ////
15
////                    rudi@asics.ws                            ////
16
////                                                             ////
17
//// This source file may be used and distributed without        ////
18
//// restriction provided that this copyright statement is not   ////
19
//// removed from the file and that any derivative work contains ////
20
//// the original copyright notice and the associated disclaimer.////
21
////                                                             ////
22
////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
23
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
24
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
25
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
26
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
27
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
28
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
29
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
30
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
31
//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
32
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
33
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
34
//// POSSIBILITY OF SUCH DAMAGE.                                 ////
35
////                                                             ////
36
/////////////////////////////////////////////////////////////////////
37
 
38
//  CVS Log
39
//
40
//  $Id: wb_conmax_top.v,v 1.1.1.1 2001-10-19 11:01:38 rudi Exp $
41
//
42
//  $Date: 2001-10-19 11:01:38 $
43
//  $Revision: 1.1.1.1 $
44
//  $Author: rudi $
45
//  $Locker:  $
46
//  $State: Exp $
47
//
48
// Change History:
49
//               $Log: not supported by cvs2svn $
50
//
51
//
52
//
53
//
54
 
55
`include "wb_conmax_defines.v"
56
 
57
module wb_conmax_top(
58
        clk_i, rst_i,
59
 
60
        // Master 0 Interface
61
        m0_data_i, m0_data_o, m0_addr_i, m0_sel_i, m0_we_i, m0_cyc_i,
62
        m0_stb_i, m0_ack_o, m0_err_o, m0_rty_o,
63
 
64
        // Master 1 Interface
65
        m1_data_i, m1_data_o, m1_addr_i, m1_sel_i, m1_we_i, m1_cyc_i,
66
        m1_stb_i, m1_ack_o, m1_err_o, m1_rty_o,
67
 
68
        // Master 2 Interface
69
        m2_data_i, m2_data_o, m2_addr_i, m2_sel_i, m2_we_i, m2_cyc_i,
70
        m2_stb_i, m2_ack_o, m2_err_o, m2_rty_o,
71
 
72
        // Master 3 Interface
73
        m3_data_i, m3_data_o, m3_addr_i, m3_sel_i, m3_we_i, m3_cyc_i,
74
        m3_stb_i, m3_ack_o, m3_err_o, m3_rty_o,
75
 
76
        // Master 4 Interface
77
        m4_data_i, m4_data_o, m4_addr_i, m4_sel_i, m4_we_i, m4_cyc_i,
78
        m4_stb_i, m4_ack_o, m4_err_o, m4_rty_o,
79
 
80
        // Master 5 Interface
81
        m5_data_i, m5_data_o, m5_addr_i, m5_sel_i, m5_we_i, m5_cyc_i,
82
        m5_stb_i, m5_ack_o, m5_err_o, m5_rty_o,
83
 
84
        // Master 6 Interface
85
        m6_data_i, m6_data_o, m6_addr_i, m6_sel_i, m6_we_i, m6_cyc_i,
86
        m6_stb_i, m6_ack_o, m6_err_o, m6_rty_o,
87
 
88
        // Master 7 Interface
89
        m7_data_i, m7_data_o, m7_addr_i, m7_sel_i, m7_we_i, m7_cyc_i,
90
        m7_stb_i, m7_ack_o, m7_err_o, m7_rty_o,
91
 
92
        // Slave 0 Interface
93
        s0_data_i, s0_data_o, s0_addr_o, s0_sel_o, s0_we_o, s0_cyc_o,
94
        s0_stb_o, s0_ack_i, s0_err_i, s0_rty_i,
95
 
96
        // Slave 1 Interface
97
        s1_data_i, s1_data_o, s1_addr_o, s1_sel_o, s1_we_o, s1_cyc_o,
98
        s1_stb_o, s1_ack_i, s1_err_i, s1_rty_i,
99
 
100
        // Slave 2 Interface
101
        s2_data_i, s2_data_o, s2_addr_o, s2_sel_o, s2_we_o, s2_cyc_o,
102
        s2_stb_o, s2_ack_i, s2_err_i, s2_rty_i,
103
 
104
        // Slave 3 Interface
105
        s3_data_i, s3_data_o, s3_addr_o, s3_sel_o, s3_we_o, s3_cyc_o,
106
        s3_stb_o, s3_ack_i, s3_err_i, s3_rty_i,
107
 
108
        // Slave 4 Interface
109
        s4_data_i, s4_data_o, s4_addr_o, s4_sel_o, s4_we_o, s4_cyc_o,
110
        s4_stb_o, s4_ack_i, s4_err_i, s4_rty_i,
111
 
112
        // Slave 5 Interface
113
        s5_data_i, s5_data_o, s5_addr_o, s5_sel_o, s5_we_o, s5_cyc_o,
114
        s5_stb_o, s5_ack_i, s5_err_i, s5_rty_i,
115
 
116
        // Slave 6 Interface
117
        s6_data_i, s6_data_o, s6_addr_o, s6_sel_o, s6_we_o, s6_cyc_o,
118
        s6_stb_o, s6_ack_i, s6_err_i, s6_rty_i,
119
 
120
        // Slave 7 Interface
121
        s7_data_i, s7_data_o, s7_addr_o, s7_sel_o, s7_we_o, s7_cyc_o,
122
        s7_stb_o, s7_ack_i, s7_err_i, s7_rty_i,
123
 
124
        // Slave 8 Interface
125
        s8_data_i, s8_data_o, s8_addr_o, s8_sel_o, s8_we_o, s8_cyc_o,
126
        s8_stb_o, s8_ack_i, s8_err_i, s8_rty_i,
127
 
128
        // Slave 9 Interface
129
        s9_data_i, s9_data_o, s9_addr_o, s9_sel_o, s9_we_o, s9_cyc_o,
130
        s9_stb_o, s9_ack_i, s9_err_i, s9_rty_i,
131
 
132
        // Slave 10 Interface
133
        s10_data_i, s10_data_o, s10_addr_o, s10_sel_o, s10_we_o, s10_cyc_o,
134
        s10_stb_o, s10_ack_i, s10_err_i, s10_rty_i,
135
 
136
        // Slave 11 Interface
137
        s11_data_i, s11_data_o, s11_addr_o, s11_sel_o, s11_we_o, s11_cyc_o,
138
        s11_stb_o, s11_ack_i, s11_err_i, s11_rty_i,
139
 
140
        // Slave 12 Interface
141
        s12_data_i, s12_data_o, s12_addr_o, s12_sel_o, s12_we_o, s12_cyc_o,
142
        s12_stb_o, s12_ack_i, s12_err_i, s12_rty_i,
143
 
144
        // Slave 13 Interface
145
        s13_data_i, s13_data_o, s13_addr_o, s13_sel_o, s13_we_o, s13_cyc_o,
146
        s13_stb_o, s13_ack_i, s13_err_i, s13_rty_i,
147
 
148
        // Slave 14 Interface
149
        s14_data_i, s14_data_o, s14_addr_o, s14_sel_o, s14_we_o, s14_cyc_o,
150
        s14_stb_o, s14_ack_i, s14_err_i, s14_rty_i,
151
 
152
        // Slave 15 Interface
153
        s15_data_i, s15_data_o, s15_addr_o, s15_sel_o, s15_we_o, s15_cyc_o,
154
        s15_stb_o, s15_ack_i, s15_err_i, s15_rty_i
155
        );
156
 
157
////////////////////////////////////////////////////////////////////
158
//
159
// Module Parameters
160
//
161
 
162
parameter               dw       = 32;          // Data bus Width
163
parameter               aw       = 32;          // Address bus Width
164
parameter       [3:0]    rf_addr  = 4'hf;
165
parameter       [1:0]    pri_sel0 = 2'd2;
166
parameter       [1:0]    pri_sel1 = 2'd2;
167
parameter       [1:0]    pri_sel2 = 2'd2;
168
parameter       [1:0]    pri_sel3 = 2'd2;
169
parameter       [1:0]    pri_sel4 = 2'd2;
170
parameter       [1:0]    pri_sel5 = 2'd2;
171
parameter       [1:0]    pri_sel6 = 2'd2;
172
parameter       [1:0]    pri_sel7 = 2'd2;
173
parameter       [1:0]    pri_sel8 = 2'd2;
174
parameter       [1:0]    pri_sel9 = 2'd2;
175
parameter       [1:0]    pri_sel10 = 2'd2;
176
parameter       [1:0]    pri_sel11 = 2'd2;
177
parameter       [1:0]    pri_sel12 = 2'd2;
178
parameter       [1:0]    pri_sel13 = 2'd2;
179
parameter       [1:0]    pri_sel14 = 2'd2;
180
parameter       [1:0]    pri_sel15 = 2'd2;
181
 
182
parameter               sw = dw / 8;    // Number of Select Lines
183
 
184
////////////////////////////////////////////////////////////////////
185
//
186
// Module IOs
187
//
188
 
189
input           clk_i, rst_i;
190
 
191
// Master 0 Interface
192
input   [dw-1:0] m0_data_i;
193
output  [dw-1:0] m0_data_o;
194
input   [aw-1:0] m0_addr_i;
195
input   [sw-1:0] m0_sel_i;
196
input                   m0_we_i;
197
input                   m0_cyc_i;
198
input                   m0_stb_i;
199
output                  m0_ack_o;
200
output                  m0_err_o;
201
output                  m0_rty_o;
202
 
203
// Master 1 Interface
204
input   [dw-1:0] m1_data_i;
205
output  [dw-1:0] m1_data_o;
206
input   [aw-1:0] m1_addr_i;
207
input   [sw-1:0] m1_sel_i;
208
input                   m1_we_i;
209
input                   m1_cyc_i;
210
input                   m1_stb_i;
211
output                  m1_ack_o;
212
output                  m1_err_o;
213
output                  m1_rty_o;
214
 
215
// Master 2 Interface
216
input   [dw-1:0] m2_data_i;
217
output  [dw-1:0] m2_data_o;
218
input   [aw-1:0] m2_addr_i;
219
input   [sw-1:0] m2_sel_i;
220
input                   m2_we_i;
221
input                   m2_cyc_i;
222
input                   m2_stb_i;
223
output                  m2_ack_o;
224
output                  m2_err_o;
225
output                  m2_rty_o;
226
 
227
// Master 3 Interface
228
input   [dw-1:0] m3_data_i;
229
output  [dw-1:0] m3_data_o;
230
input   [aw-1:0] m3_addr_i;
231
input   [sw-1:0] m3_sel_i;
232
input                   m3_we_i;
233
input                   m3_cyc_i;
234
input                   m3_stb_i;
235
output                  m3_ack_o;
236
output                  m3_err_o;
237
output                  m3_rty_o;
238
 
239
// Master 4 Interface
240
input   [dw-1:0] m4_data_i;
241
output  [dw-1:0] m4_data_o;
242
input   [aw-1:0] m4_addr_i;
243
input   [sw-1:0] m4_sel_i;
244
input                   m4_we_i;
245
input                   m4_cyc_i;
246
input                   m4_stb_i;
247
output                  m4_ack_o;
248
output                  m4_err_o;
249
output                  m4_rty_o;
250
 
251
// Master 5 Interface
252
input   [dw-1:0] m5_data_i;
253
output  [dw-1:0] m5_data_o;
254
input   [aw-1:0] m5_addr_i;
255
input   [sw-1:0] m5_sel_i;
256
input                   m5_we_i;
257
input                   m5_cyc_i;
258
input                   m5_stb_i;
259
output                  m5_ack_o;
260
output                  m5_err_o;
261
output                  m5_rty_o;
262
 
263
// Master 6 Interface
264
input   [dw-1:0] m6_data_i;
265
output  [dw-1:0] m6_data_o;
266
input   [aw-1:0] m6_addr_i;
267
input   [sw-1:0] m6_sel_i;
268
input                   m6_we_i;
269
input                   m6_cyc_i;
270
input                   m6_stb_i;
271
output                  m6_ack_o;
272
output                  m6_err_o;
273
output                  m6_rty_o;
274
 
275
// Master 7 Interface
276
input   [dw-1:0] m7_data_i;
277
output  [dw-1:0] m7_data_o;
278
input   [aw-1:0] m7_addr_i;
279
input   [sw-1:0] m7_sel_i;
280
input                   m7_we_i;
281
input                   m7_cyc_i;
282
input                   m7_stb_i;
283
output                  m7_ack_o;
284
output                  m7_err_o;
285
output                  m7_rty_o;
286
 
287
// Slave 0 Interface
288
input   [dw-1:0] s0_data_i;
289
output  [dw-1:0] s0_data_o;
290
output  [aw-1:0] s0_addr_o;
291
output  [sw-1:0] s0_sel_o;
292
output                  s0_we_o;
293
output                  s0_cyc_o;
294
output                  s0_stb_o;
295
input                   s0_ack_i;
296
input                   s0_err_i;
297
input                   s0_rty_i;
298
 
299
// Slave 1 Interface
300
input   [dw-1:0] s1_data_i;
301
output  [dw-1:0] s1_data_o;
302
output  [aw-1:0] s1_addr_o;
303
output  [sw-1:0] s1_sel_o;
304
output                  s1_we_o;
305
output                  s1_cyc_o;
306
output                  s1_stb_o;
307
input                   s1_ack_i;
308
input                   s1_err_i;
309
input                   s1_rty_i;
310
 
311
// Slave 2 Interface
312
input   [dw-1:0] s2_data_i;
313
output  [dw-1:0] s2_data_o;
314
output  [aw-1:0] s2_addr_o;
315
output  [sw-1:0] s2_sel_o;
316
output                  s2_we_o;
317
output                  s2_cyc_o;
318
output                  s2_stb_o;
319
input                   s2_ack_i;
320
input                   s2_err_i;
321
input                   s2_rty_i;
322
 
323
// Slave 3 Interface
324
input   [dw-1:0] s3_data_i;
325
output  [dw-1:0] s3_data_o;
326
output  [aw-1:0] s3_addr_o;
327
output  [sw-1:0] s3_sel_o;
328
output                  s3_we_o;
329
output                  s3_cyc_o;
330
output                  s3_stb_o;
331
input                   s3_ack_i;
332
input                   s3_err_i;
333
input                   s3_rty_i;
334
 
335
// Slave 4 Interface
336
input   [dw-1:0] s4_data_i;
337
output  [dw-1:0] s4_data_o;
338
output  [aw-1:0] s4_addr_o;
339
output  [sw-1:0] s4_sel_o;
340
output                  s4_we_o;
341
output                  s4_cyc_o;
342
output                  s4_stb_o;
343
input                   s4_ack_i;
344
input                   s4_err_i;
345
input                   s4_rty_i;
346
 
347
// Slave 5 Interface
348
input   [dw-1:0] s5_data_i;
349
output  [dw-1:0] s5_data_o;
350
output  [aw-1:0] s5_addr_o;
351
output  [sw-1:0] s5_sel_o;
352
output                  s5_we_o;
353
output                  s5_cyc_o;
354
output                  s5_stb_o;
355
input                   s5_ack_i;
356
input                   s5_err_i;
357
input                   s5_rty_i;
358
 
359
// Slave 6 Interface
360
input   [dw-1:0] s6_data_i;
361
output  [dw-1:0] s6_data_o;
362
output  [aw-1:0] s6_addr_o;
363
output  [sw-1:0] s6_sel_o;
364
output                  s6_we_o;
365
output                  s6_cyc_o;
366
output                  s6_stb_o;
367
input                   s6_ack_i;
368
input                   s6_err_i;
369
input                   s6_rty_i;
370
 
371
// Slave 7 Interface
372
input   [dw-1:0] s7_data_i;
373
output  [dw-1:0] s7_data_o;
374
output  [aw-1:0] s7_addr_o;
375
output  [sw-1:0] s7_sel_o;
376
output                  s7_we_o;
377
output                  s7_cyc_o;
378
output                  s7_stb_o;
379
input                   s7_ack_i;
380
input                   s7_err_i;
381
input                   s7_rty_i;
382
 
383
// Slave 8 Interface
384
input   [dw-1:0] s8_data_i;
385
output  [dw-1:0] s8_data_o;
386
output  [aw-1:0] s8_addr_o;
387
output  [sw-1:0] s8_sel_o;
388
output                  s8_we_o;
389
output                  s8_cyc_o;
390
output                  s8_stb_o;
391
input                   s8_ack_i;
392
input                   s8_err_i;
393
input                   s8_rty_i;
394
 
395
// Slave 9 Interface
396
input   [dw-1:0] s9_data_i;
397
output  [dw-1:0] s9_data_o;
398
output  [aw-1:0] s9_addr_o;
399
output  [sw-1:0] s9_sel_o;
400
output                  s9_we_o;
401
output                  s9_cyc_o;
402
output                  s9_stb_o;
403
input                   s9_ack_i;
404
input                   s9_err_i;
405
input                   s9_rty_i;
406
 
407
// Slave 10 Interface
408
input   [dw-1:0] s10_data_i;
409
output  [dw-1:0] s10_data_o;
410
output  [aw-1:0] s10_addr_o;
411
output  [sw-1:0] s10_sel_o;
412
output                  s10_we_o;
413
output                  s10_cyc_o;
414
output                  s10_stb_o;
415
input                   s10_ack_i;
416
input                   s10_err_i;
417
input                   s10_rty_i;
418
 
419
// Slave 11 Interface
420
input   [dw-1:0] s11_data_i;
421
output  [dw-1:0] s11_data_o;
422
output  [aw-1:0] s11_addr_o;
423
output  [sw-1:0] s11_sel_o;
424
output                  s11_we_o;
425
output                  s11_cyc_o;
426
output                  s11_stb_o;
427
input                   s11_ack_i;
428
input                   s11_err_i;
429
input                   s11_rty_i;
430
 
431
// Slave 12 Interface
432
input   [dw-1:0] s12_data_i;
433
output  [dw-1:0] s12_data_o;
434
output  [aw-1:0] s12_addr_o;
435
output  [sw-1:0] s12_sel_o;
436
output                  s12_we_o;
437
output                  s12_cyc_o;
438
output                  s12_stb_o;
439
input                   s12_ack_i;
440
input                   s12_err_i;
441
input                   s12_rty_i;
442
 
443
// Slave 13 Interface
444
input   [dw-1:0] s13_data_i;
445
output  [dw-1:0] s13_data_o;
446
output  [aw-1:0] s13_addr_o;
447
output  [sw-1:0] s13_sel_o;
448
output                  s13_we_o;
449
output                  s13_cyc_o;
450
output                  s13_stb_o;
451
input                   s13_ack_i;
452
input                   s13_err_i;
453
input                   s13_rty_i;
454
 
455
// Slave 14 Interface
456
input   [dw-1:0] s14_data_i;
457
output  [dw-1:0] s14_data_o;
458
output  [aw-1:0] s14_addr_o;
459
output  [sw-1:0] s14_sel_o;
460
output                  s14_we_o;
461
output                  s14_cyc_o;
462
output                  s14_stb_o;
463
input                   s14_ack_i;
464
input                   s14_err_i;
465
input                   s14_rty_i;
466
 
467
// Slave 15 Interface
468
input   [dw-1:0] s15_data_i;
469
output  [dw-1:0] s15_data_o;
470
output  [aw-1:0] s15_addr_o;
471
output  [sw-1:0] s15_sel_o;
472
output                  s15_we_o;
473
output                  s15_cyc_o;
474
output                  s15_stb_o;
475
input                   s15_ack_i;
476
input                   s15_err_i;
477
input                   s15_rty_i;
478
 
479
////////////////////////////////////////////////////////////////////
480
//
481
// Local wires
482
//
483
 
484
wire    [dw-1:0] i_s15_data_i;
485
wire    [dw-1:0] i_s15_data_o;
486
wire    [aw-1:0] i_s15_addr_o;
487
wire    [sw-1:0] i_s15_sel_o;
488
wire                    i_s15_we_o;
489
wire                    i_s15_cyc_o;
490
wire                    i_s15_stb_o;
491
wire                    i_s15_ack_i;
492
wire                    i_s15_err_i;
493
wire                    i_s15_rty_i;
494
 
495
wire    [dw-1:0] m0s0_data_i;
496
wire    [dw-1:0] m0s0_data_o;
497
wire    [aw-1:0] m0s0_addr;
498
wire    [sw-1:0] m0s0_sel;
499
wire                    m0s0_we;
500
wire                    m0s0_cyc;
501
wire                    m0s0_stb;
502
wire                    m0s0_ack;
503
wire                    m0s0_err;
504
wire                    m0s0_rty;
505
wire    [dw-1:0] m0s1_data_i;
506
wire    [dw-1:0] m0s1_data_o;
507
wire    [aw-1:0] m0s1_addr;
508
wire    [sw-1:0] m0s1_sel;
509
wire                    m0s1_we;
510
wire                    m0s1_cyc;
511
wire                    m0s1_stb;
512
wire                    m0s1_ack;
513
wire                    m0s1_err;
514
wire                    m0s1_rty;
515
wire    [dw-1:0] m0s2_data_i;
516
wire    [dw-1:0] m0s2_data_o;
517
wire    [aw-1:0] m0s2_addr;
518
wire    [sw-1:0] m0s2_sel;
519
wire                    m0s2_we;
520
wire                    m0s2_cyc;
521
wire                    m0s2_stb;
522
wire                    m0s2_ack;
523
wire                    m0s2_err;
524
wire                    m0s2_rty;
525
wire    [dw-1:0] m0s3_data_i;
526
wire    [dw-1:0] m0s3_data_o;
527
wire    [aw-1:0] m0s3_addr;
528
wire    [sw-1:0] m0s3_sel;
529
wire                    m0s3_we;
530
wire                    m0s3_cyc;
531
wire                    m0s3_stb;
532
wire                    m0s3_ack;
533
wire                    m0s3_err;
534
wire                    m0s3_rty;
535
wire    [dw-1:0] m0s4_data_i;
536
wire    [dw-1:0] m0s4_data_o;
537
wire    [aw-1:0] m0s4_addr;
538
wire    [sw-1:0] m0s4_sel;
539
wire                    m0s4_we;
540
wire                    m0s4_cyc;
541
wire                    m0s4_stb;
542
wire                    m0s4_ack;
543
wire                    m0s4_err;
544
wire                    m0s4_rty;
545
wire    [dw-1:0] m0s5_data_i;
546
wire    [dw-1:0] m0s5_data_o;
547
wire    [aw-1:0] m0s5_addr;
548
wire    [sw-1:0] m0s5_sel;
549
wire                    m0s5_we;
550
wire                    m0s5_cyc;
551
wire                    m0s5_stb;
552
wire                    m0s5_ack;
553
wire                    m0s5_err;
554
wire                    m0s5_rty;
555
wire    [dw-1:0] m0s6_data_i;
556
wire    [dw-1:0] m0s6_data_o;
557
wire    [aw-1:0] m0s6_addr;
558
wire    [sw-1:0] m0s6_sel;
559
wire                    m0s6_we;
560
wire                    m0s6_cyc;
561
wire                    m0s6_stb;
562
wire                    m0s6_ack;
563
wire                    m0s6_err;
564
wire                    m0s6_rty;
565
wire    [dw-1:0] m0s7_data_i;
566
wire    [dw-1:0] m0s7_data_o;
567
wire    [aw-1:0] m0s7_addr;
568
wire    [sw-1:0] m0s7_sel;
569
wire                    m0s7_we;
570
wire                    m0s7_cyc;
571
wire                    m0s7_stb;
572
wire                    m0s7_ack;
573
wire                    m0s7_err;
574
wire                    m0s7_rty;
575
wire    [dw-1:0] m0s8_data_i;
576
wire    [dw-1:0] m0s8_data_o;
577
wire    [aw-1:0] m0s8_addr;
578
wire    [sw-1:0] m0s8_sel;
579
wire                    m0s8_we;
580
wire                    m0s8_cyc;
581
wire                    m0s8_stb;
582
wire                    m0s8_ack;
583
wire                    m0s8_err;
584
wire                    m0s8_rty;
585
wire    [dw-1:0] m0s9_data_i;
586
wire    [dw-1:0] m0s9_data_o;
587
wire    [aw-1:0] m0s9_addr;
588
wire    [sw-1:0] m0s9_sel;
589
wire                    m0s9_we;
590
wire                    m0s9_cyc;
591
wire                    m0s9_stb;
592
wire                    m0s9_ack;
593
wire                    m0s9_err;
594
wire                    m0s9_rty;
595
wire    [dw-1:0] m0s10_data_i;
596
wire    [dw-1:0] m0s10_data_o;
597
wire    [aw-1:0] m0s10_addr;
598
wire    [sw-1:0] m0s10_sel;
599
wire                    m0s10_we;
600
wire                    m0s10_cyc;
601
wire                    m0s10_stb;
602
wire                    m0s10_ack;
603
wire                    m0s10_err;
604
wire                    m0s10_rty;
605
wire    [dw-1:0] m0s11_data_i;
606
wire    [dw-1:0] m0s11_data_o;
607
wire    [aw-1:0] m0s11_addr;
608
wire    [sw-1:0] m0s11_sel;
609
wire                    m0s11_we;
610
wire                    m0s11_cyc;
611
wire                    m0s11_stb;
612
wire                    m0s11_ack;
613
wire                    m0s11_err;
614
wire                    m0s11_rty;
615
wire    [dw-1:0] m0s12_data_i;
616
wire    [dw-1:0] m0s12_data_o;
617
wire    [aw-1:0] m0s12_addr;
618
wire    [sw-1:0] m0s12_sel;
619
wire                    m0s12_we;
620
wire                    m0s12_cyc;
621
wire                    m0s12_stb;
622
wire                    m0s12_ack;
623
wire                    m0s12_err;
624
wire                    m0s12_rty;
625
wire    [dw-1:0] m0s13_data_i;
626
wire    [dw-1:0] m0s13_data_o;
627
wire    [aw-1:0] m0s13_addr;
628
wire    [sw-1:0] m0s13_sel;
629
wire                    m0s13_we;
630
wire                    m0s13_cyc;
631
wire                    m0s13_stb;
632
wire                    m0s13_ack;
633
wire                    m0s13_err;
634
wire                    m0s13_rty;
635
wire    [dw-1:0] m0s14_data_i;
636
wire    [dw-1:0] m0s14_data_o;
637
wire    [aw-1:0] m0s14_addr;
638
wire    [sw-1:0] m0s14_sel;
639
wire                    m0s14_we;
640
wire                    m0s14_cyc;
641
wire                    m0s14_stb;
642
wire                    m0s14_ack;
643
wire                    m0s14_err;
644
wire                    m0s14_rty;
645
wire    [dw-1:0] m0s15_data_i;
646
wire    [dw-1:0] m0s15_data_o;
647
wire    [aw-1:0] m0s15_addr;
648
wire    [sw-1:0] m0s15_sel;
649
wire                    m0s15_we;
650
wire                    m0s15_cyc;
651
wire                    m0s15_stb;
652
wire                    m0s15_ack;
653
wire                    m0s15_err;
654
wire                    m0s15_rty;
655
wire    [dw-1:0] m1s0_data_i;
656
wire    [dw-1:0] m1s0_data_o;
657
wire    [aw-1:0] m1s0_addr;
658
wire    [sw-1:0] m1s0_sel;
659
wire                    m1s0_we;
660
wire                    m1s0_cyc;
661
wire                    m1s0_stb;
662
wire                    m1s0_ack;
663
wire                    m1s0_err;
664
wire                    m1s0_rty;
665
wire    [dw-1:0] m1s1_data_i;
666
wire    [dw-1:0] m1s1_data_o;
667
wire    [aw-1:0] m1s1_addr;
668
wire    [sw-1:0] m1s1_sel;
669
wire                    m1s1_we;
670
wire                    m1s1_cyc;
671
wire                    m1s1_stb;
672
wire                    m1s1_ack;
673
wire                    m1s1_err;
674
wire                    m1s1_rty;
675
wire    [dw-1:0] m1s2_data_i;
676
wire    [dw-1:0] m1s2_data_o;
677
wire    [aw-1:0] m1s2_addr;
678
wire    [sw-1:0] m1s2_sel;
679
wire                    m1s2_we;
680
wire                    m1s2_cyc;
681
wire                    m1s2_stb;
682
wire                    m1s2_ack;
683
wire                    m1s2_err;
684
wire                    m1s2_rty;
685
wire    [dw-1:0] m1s3_data_i;
686
wire    [dw-1:0] m1s3_data_o;
687
wire    [aw-1:0] m1s3_addr;
688
wire    [sw-1:0] m1s3_sel;
689
wire                    m1s3_we;
690
wire                    m1s3_cyc;
691
wire                    m1s3_stb;
692
wire                    m1s3_ack;
693
wire                    m1s3_err;
694
wire                    m1s3_rty;
695
wire    [dw-1:0] m1s4_data_i;
696
wire    [dw-1:0] m1s4_data_o;
697
wire    [aw-1:0] m1s4_addr;
698
wire    [sw-1:0] m1s4_sel;
699
wire                    m1s4_we;
700
wire                    m1s4_cyc;
701
wire                    m1s4_stb;
702
wire                    m1s4_ack;
703
wire                    m1s4_err;
704
wire                    m1s4_rty;
705
wire    [dw-1:0] m1s5_data_i;
706
wire    [dw-1:0] m1s5_data_o;
707
wire    [aw-1:0] m1s5_addr;
708
wire    [sw-1:0] m1s5_sel;
709
wire                    m1s5_we;
710
wire                    m1s5_cyc;
711
wire                    m1s5_stb;
712
wire                    m1s5_ack;
713
wire                    m1s5_err;
714
wire                    m1s5_rty;
715
wire    [dw-1:0] m1s6_data_i;
716
wire    [dw-1:0] m1s6_data_o;
717
wire    [aw-1:0] m1s6_addr;
718
wire    [sw-1:0] m1s6_sel;
719
wire                    m1s6_we;
720
wire                    m1s6_cyc;
721
wire                    m1s6_stb;
722
wire                    m1s6_ack;
723
wire                    m1s6_err;
724
wire                    m1s6_rty;
725
wire    [dw-1:0] m1s7_data_i;
726
wire    [dw-1:0] m1s7_data_o;
727
wire    [aw-1:0] m1s7_addr;
728
wire    [sw-1:0] m1s7_sel;
729
wire                    m1s7_we;
730
wire                    m1s7_cyc;
731
wire                    m1s7_stb;
732
wire                    m1s7_ack;
733
wire                    m1s7_err;
734
wire                    m1s7_rty;
735
wire    [dw-1:0] m1s8_data_i;
736
wire    [dw-1:0] m1s8_data_o;
737
wire    [aw-1:0] m1s8_addr;
738
wire    [sw-1:0] m1s8_sel;
739
wire                    m1s8_we;
740
wire                    m1s8_cyc;
741
wire                    m1s8_stb;
742
wire                    m1s8_ack;
743
wire                    m1s8_err;
744
wire                    m1s8_rty;
745
wire    [dw-1:0] m1s9_data_i;
746
wire    [dw-1:0] m1s9_data_o;
747
wire    [aw-1:0] m1s9_addr;
748
wire    [sw-1:0] m1s9_sel;
749
wire                    m1s9_we;
750
wire                    m1s9_cyc;
751
wire                    m1s9_stb;
752
wire                    m1s9_ack;
753
wire                    m1s9_err;
754
wire                    m1s9_rty;
755
wire    [dw-1:0] m1s10_data_i;
756
wire    [dw-1:0] m1s10_data_o;
757
wire    [aw-1:0] m1s10_addr;
758
wire    [sw-1:0] m1s10_sel;
759
wire                    m1s10_we;
760
wire                    m1s10_cyc;
761
wire                    m1s10_stb;
762
wire                    m1s10_ack;
763
wire                    m1s10_err;
764
wire                    m1s10_rty;
765
wire    [dw-1:0] m1s11_data_i;
766
wire    [dw-1:0] m1s11_data_o;
767
wire    [aw-1:0] m1s11_addr;
768
wire    [sw-1:0] m1s11_sel;
769
wire                    m1s11_we;
770
wire                    m1s11_cyc;
771
wire                    m1s11_stb;
772
wire                    m1s11_ack;
773
wire                    m1s11_err;
774
wire                    m1s11_rty;
775
wire    [dw-1:0] m1s12_data_i;
776
wire    [dw-1:0] m1s12_data_o;
777
wire    [aw-1:0] m1s12_addr;
778
wire    [sw-1:0] m1s12_sel;
779
wire                    m1s12_we;
780
wire                    m1s12_cyc;
781
wire                    m1s12_stb;
782
wire                    m1s12_ack;
783
wire                    m1s12_err;
784
wire                    m1s12_rty;
785
wire    [dw-1:0] m1s13_data_i;
786
wire    [dw-1:0] m1s13_data_o;
787
wire    [aw-1:0] m1s13_addr;
788
wire    [sw-1:0] m1s13_sel;
789
wire                    m1s13_we;
790
wire                    m1s13_cyc;
791
wire                    m1s13_stb;
792
wire                    m1s13_ack;
793
wire                    m1s13_err;
794
wire                    m1s13_rty;
795
wire    [dw-1:0] m1s14_data_i;
796
wire    [dw-1:0] m1s14_data_o;
797
wire    [aw-1:0] m1s14_addr;
798
wire    [sw-1:0] m1s14_sel;
799
wire                    m1s14_we;
800
wire                    m1s14_cyc;
801
wire                    m1s14_stb;
802
wire                    m1s14_ack;
803
wire                    m1s14_err;
804
wire                    m1s14_rty;
805
wire    [dw-1:0] m1s15_data_i;
806
wire    [dw-1:0] m1s15_data_o;
807
wire    [aw-1:0] m1s15_addr;
808
wire    [sw-1:0] m1s15_sel;
809
wire                    m1s15_we;
810
wire                    m1s15_cyc;
811
wire                    m1s15_stb;
812
wire                    m1s15_ack;
813
wire                    m1s15_err;
814
wire                    m1s15_rty;
815
wire    [dw-1:0] m2s0_data_i;
816
wire    [dw-1:0] m2s0_data_o;
817
wire    [aw-1:0] m2s0_addr;
818
wire    [sw-1:0] m2s0_sel;
819
wire                    m2s0_we;
820
wire                    m2s0_cyc;
821
wire                    m2s0_stb;
822
wire                    m2s0_ack;
823
wire                    m2s0_err;
824
wire                    m2s0_rty;
825
wire    [dw-1:0] m2s1_data_i;
826
wire    [dw-1:0] m2s1_data_o;
827
wire    [aw-1:0] m2s1_addr;
828
wire    [sw-1:0] m2s1_sel;
829
wire                    m2s1_we;
830
wire                    m2s1_cyc;
831
wire                    m2s1_stb;
832
wire                    m2s1_ack;
833
wire                    m2s1_err;
834
wire                    m2s1_rty;
835
wire    [dw-1:0] m2s2_data_i;
836
wire    [dw-1:0] m2s2_data_o;
837
wire    [aw-1:0] m2s2_addr;
838
wire    [sw-1:0] m2s2_sel;
839
wire                    m2s2_we;
840
wire                    m2s2_cyc;
841
wire                    m2s2_stb;
842
wire                    m2s2_ack;
843
wire                    m2s2_err;
844
wire                    m2s2_rty;
845
wire    [dw-1:0] m2s3_data_i;
846
wire    [dw-1:0] m2s3_data_o;
847
wire    [aw-1:0] m2s3_addr;
848
wire    [sw-1:0] m2s3_sel;
849
wire                    m2s3_we;
850
wire                    m2s3_cyc;
851
wire                    m2s3_stb;
852
wire                    m2s3_ack;
853
wire                    m2s3_err;
854
wire                    m2s3_rty;
855
wire    [dw-1:0] m2s4_data_i;
856
wire    [dw-1:0] m2s4_data_o;
857
wire    [aw-1:0] m2s4_addr;
858
wire    [sw-1:0] m2s4_sel;
859
wire                    m2s4_we;
860
wire                    m2s4_cyc;
861
wire                    m2s4_stb;
862
wire                    m2s4_ack;
863
wire                    m2s4_err;
864
wire                    m2s4_rty;
865
wire    [dw-1:0] m2s5_data_i;
866
wire    [dw-1:0] m2s5_data_o;
867
wire    [aw-1:0] m2s5_addr;
868
wire    [sw-1:0] m2s5_sel;
869
wire                    m2s5_we;
870
wire                    m2s5_cyc;
871
wire                    m2s5_stb;
872
wire                    m2s5_ack;
873
wire                    m2s5_err;
874
wire                    m2s5_rty;
875
wire    [dw-1:0] m2s6_data_i;
876
wire    [dw-1:0] m2s6_data_o;
877
wire    [aw-1:0] m2s6_addr;
878
wire    [sw-1:0] m2s6_sel;
879
wire                    m2s6_we;
880
wire                    m2s6_cyc;
881
wire                    m2s6_stb;
882
wire                    m2s6_ack;
883
wire                    m2s6_err;
884
wire                    m2s6_rty;
885
wire    [dw-1:0] m2s7_data_i;
886
wire    [dw-1:0] m2s7_data_o;
887
wire    [aw-1:0] m2s7_addr;
888
wire    [sw-1:0] m2s7_sel;
889
wire                    m2s7_we;
890
wire                    m2s7_cyc;
891
wire                    m2s7_stb;
892
wire                    m2s7_ack;
893
wire                    m2s7_err;
894
wire                    m2s7_rty;
895
wire    [dw-1:0] m2s8_data_i;
896
wire    [dw-1:0] m2s8_data_o;
897
wire    [aw-1:0] m2s8_addr;
898
wire    [sw-1:0] m2s8_sel;
899
wire                    m2s8_we;
900
wire                    m2s8_cyc;
901
wire                    m2s8_stb;
902
wire                    m2s8_ack;
903
wire                    m2s8_err;
904
wire                    m2s8_rty;
905
wire    [dw-1:0] m2s9_data_i;
906
wire    [dw-1:0] m2s9_data_o;
907
wire    [aw-1:0] m2s9_addr;
908
wire    [sw-1:0] m2s9_sel;
909
wire                    m2s9_we;
910
wire                    m2s9_cyc;
911
wire                    m2s9_stb;
912
wire                    m2s9_ack;
913
wire                    m2s9_err;
914
wire                    m2s9_rty;
915
wire    [dw-1:0] m2s10_data_i;
916
wire    [dw-1:0] m2s10_data_o;
917
wire    [aw-1:0] m2s10_addr;
918
wire    [sw-1:0] m2s10_sel;
919
wire                    m2s10_we;
920
wire                    m2s10_cyc;
921
wire                    m2s10_stb;
922
wire                    m2s10_ack;
923
wire                    m2s10_err;
924
wire                    m2s10_rty;
925
wire    [dw-1:0] m2s11_data_i;
926
wire    [dw-1:0] m2s11_data_o;
927
wire    [aw-1:0] m2s11_addr;
928
wire    [sw-1:0] m2s11_sel;
929
wire                    m2s11_we;
930
wire                    m2s11_cyc;
931
wire                    m2s11_stb;
932
wire                    m2s11_ack;
933
wire                    m2s11_err;
934
wire                    m2s11_rty;
935
wire    [dw-1:0] m2s12_data_i;
936
wire    [dw-1:0] m2s12_data_o;
937
wire    [aw-1:0] m2s12_addr;
938
wire    [sw-1:0] m2s12_sel;
939
wire                    m2s12_we;
940
wire                    m2s12_cyc;
941
wire                    m2s12_stb;
942
wire                    m2s12_ack;
943
wire                    m2s12_err;
944
wire                    m2s12_rty;
945
wire    [dw-1:0] m2s13_data_i;
946
wire    [dw-1:0] m2s13_data_o;
947
wire    [aw-1:0] m2s13_addr;
948
wire    [sw-1:0] m2s13_sel;
949
wire                    m2s13_we;
950
wire                    m2s13_cyc;
951
wire                    m2s13_stb;
952
wire                    m2s13_ack;
953
wire                    m2s13_err;
954
wire                    m2s13_rty;
955
wire    [dw-1:0] m2s14_data_i;
956
wire    [dw-1:0] m2s14_data_o;
957
wire    [aw-1:0] m2s14_addr;
958
wire    [sw-1:0] m2s14_sel;
959
wire                    m2s14_we;
960
wire                    m2s14_cyc;
961
wire                    m2s14_stb;
962
wire                    m2s14_ack;
963
wire                    m2s14_err;
964
wire                    m2s14_rty;
965
wire    [dw-1:0] m2s15_data_i;
966
wire    [dw-1:0] m2s15_data_o;
967
wire    [aw-1:0] m2s15_addr;
968
wire    [sw-1:0] m2s15_sel;
969
wire                    m2s15_we;
970
wire                    m2s15_cyc;
971
wire                    m2s15_stb;
972
wire                    m2s15_ack;
973
wire                    m2s15_err;
974
wire                    m2s15_rty;
975
wire    [dw-1:0] m3s0_data_i;
976
wire    [dw-1:0] m3s0_data_o;
977
wire    [aw-1:0] m3s0_addr;
978
wire    [sw-1:0] m3s0_sel;
979
wire                    m3s0_we;
980
wire                    m3s0_cyc;
981
wire                    m3s0_stb;
982
wire                    m3s0_ack;
983
wire                    m3s0_err;
984
wire                    m3s0_rty;
985
wire    [dw-1:0] m3s1_data_i;
986
wire    [dw-1:0] m3s1_data_o;
987
wire    [aw-1:0] m3s1_addr;
988
wire    [sw-1:0] m3s1_sel;
989
wire                    m3s1_we;
990
wire                    m3s1_cyc;
991
wire                    m3s1_stb;
992
wire                    m3s1_ack;
993
wire                    m3s1_err;
994
wire                    m3s1_rty;
995
wire    [dw-1:0] m3s2_data_i;
996
wire    [dw-1:0] m3s2_data_o;
997
wire    [aw-1:0] m3s2_addr;
998
wire    [sw-1:0] m3s2_sel;
999
wire                    m3s2_we;
1000
wire                    m3s2_cyc;
1001
wire                    m3s2_stb;
1002
wire                    m3s2_ack;
1003
wire                    m3s2_err;
1004
wire                    m3s2_rty;
1005
wire    [dw-1:0] m3s3_data_i;
1006
wire    [dw-1:0] m3s3_data_o;
1007
wire    [aw-1:0] m3s3_addr;
1008
wire    [sw-1:0] m3s3_sel;
1009
wire                    m3s3_we;
1010
wire                    m3s3_cyc;
1011
wire                    m3s3_stb;
1012
wire                    m3s3_ack;
1013
wire                    m3s3_err;
1014
wire                    m3s3_rty;
1015
wire    [dw-1:0] m3s4_data_i;
1016
wire    [dw-1:0] m3s4_data_o;
1017
wire    [aw-1:0] m3s4_addr;
1018
wire    [sw-1:0] m3s4_sel;
1019
wire                    m3s4_we;
1020
wire                    m3s4_cyc;
1021
wire                    m3s4_stb;
1022
wire                    m3s4_ack;
1023
wire                    m3s4_err;
1024
wire                    m3s4_rty;
1025
wire    [dw-1:0] m3s5_data_i;
1026
wire    [dw-1:0] m3s5_data_o;
1027
wire    [aw-1:0] m3s5_addr;
1028
wire    [sw-1:0] m3s5_sel;
1029
wire                    m3s5_we;
1030
wire                    m3s5_cyc;
1031
wire                    m3s5_stb;
1032
wire                    m3s5_ack;
1033
wire                    m3s5_err;
1034
wire                    m3s5_rty;
1035
wire    [dw-1:0] m3s6_data_i;
1036
wire    [dw-1:0] m3s6_data_o;
1037
wire    [aw-1:0] m3s6_addr;
1038
wire    [sw-1:0] m3s6_sel;
1039
wire                    m3s6_we;
1040
wire                    m3s6_cyc;
1041
wire                    m3s6_stb;
1042
wire                    m3s6_ack;
1043
wire                    m3s6_err;
1044
wire                    m3s6_rty;
1045
wire    [dw-1:0] m3s7_data_i;
1046
wire    [dw-1:0] m3s7_data_o;
1047
wire    [aw-1:0] m3s7_addr;
1048
wire    [sw-1:0] m3s7_sel;
1049
wire                    m3s7_we;
1050
wire                    m3s7_cyc;
1051
wire                    m3s7_stb;
1052
wire                    m3s7_ack;
1053
wire                    m3s7_err;
1054
wire                    m3s7_rty;
1055
wire    [dw-1:0] m3s8_data_i;
1056
wire    [dw-1:0] m3s8_data_o;
1057
wire    [aw-1:0] m3s8_addr;
1058
wire    [sw-1:0] m3s8_sel;
1059
wire                    m3s8_we;
1060
wire                    m3s8_cyc;
1061
wire                    m3s8_stb;
1062
wire                    m3s8_ack;
1063
wire                    m3s8_err;
1064
wire                    m3s8_rty;
1065
wire    [dw-1:0] m3s9_data_i;
1066
wire    [dw-1:0] m3s9_data_o;
1067
wire    [aw-1:0] m3s9_addr;
1068
wire    [sw-1:0] m3s9_sel;
1069
wire                    m3s9_we;
1070
wire                    m3s9_cyc;
1071
wire                    m3s9_stb;
1072
wire                    m3s9_ack;
1073
wire                    m3s9_err;
1074
wire                    m3s9_rty;
1075
wire    [dw-1:0] m3s10_data_i;
1076
wire    [dw-1:0] m3s10_data_o;
1077
wire    [aw-1:0] m3s10_addr;
1078
wire    [sw-1:0] m3s10_sel;
1079
wire                    m3s10_we;
1080
wire                    m3s10_cyc;
1081
wire                    m3s10_stb;
1082
wire                    m3s10_ack;
1083
wire                    m3s10_err;
1084
wire                    m3s10_rty;
1085
wire    [dw-1:0] m3s11_data_i;
1086
wire    [dw-1:0] m3s11_data_o;
1087
wire    [aw-1:0] m3s11_addr;
1088
wire    [sw-1:0] m3s11_sel;
1089
wire                    m3s11_we;
1090
wire                    m3s11_cyc;
1091
wire                    m3s11_stb;
1092
wire                    m3s11_ack;
1093
wire                    m3s11_err;
1094
wire                    m3s11_rty;
1095
wire    [dw-1:0] m3s12_data_i;
1096
wire    [dw-1:0] m3s12_data_o;
1097
wire    [aw-1:0] m3s12_addr;
1098
wire    [sw-1:0] m3s12_sel;
1099
wire                    m3s12_we;
1100
wire                    m3s12_cyc;
1101
wire                    m3s12_stb;
1102
wire                    m3s12_ack;
1103
wire                    m3s12_err;
1104
wire                    m3s12_rty;
1105
wire    [dw-1:0] m3s13_data_i;
1106
wire    [dw-1:0] m3s13_data_o;
1107
wire    [aw-1:0] m3s13_addr;
1108
wire    [sw-1:0] m3s13_sel;
1109
wire                    m3s13_we;
1110
wire                    m3s13_cyc;
1111
wire                    m3s13_stb;
1112
wire                    m3s13_ack;
1113
wire                    m3s13_err;
1114
wire                    m3s13_rty;
1115
wire    [dw-1:0] m3s14_data_i;
1116
wire    [dw-1:0] m3s14_data_o;
1117
wire    [aw-1:0] m3s14_addr;
1118
wire    [sw-1:0] m3s14_sel;
1119
wire                    m3s14_we;
1120
wire                    m3s14_cyc;
1121
wire                    m3s14_stb;
1122
wire                    m3s14_ack;
1123
wire                    m3s14_err;
1124
wire                    m3s14_rty;
1125
wire    [dw-1:0] m3s15_data_i;
1126
wire    [dw-1:0] m3s15_data_o;
1127
wire    [aw-1:0] m3s15_addr;
1128
wire    [sw-1:0] m3s15_sel;
1129
wire                    m3s15_we;
1130
wire                    m3s15_cyc;
1131
wire                    m3s15_stb;
1132
wire                    m3s15_ack;
1133
wire                    m3s15_err;
1134
wire                    m3s15_rty;
1135
wire    [dw-1:0] m4s0_data_i;
1136
wire    [dw-1:0] m4s0_data_o;
1137
wire    [aw-1:0] m4s0_addr;
1138
wire    [sw-1:0] m4s0_sel;
1139
wire                    m4s0_we;
1140
wire                    m4s0_cyc;
1141
wire                    m4s0_stb;
1142
wire                    m4s0_ack;
1143
wire                    m4s0_err;
1144
wire                    m4s0_rty;
1145
wire    [dw-1:0] m4s1_data_i;
1146
wire    [dw-1:0] m4s1_data_o;
1147
wire    [aw-1:0] m4s1_addr;
1148
wire    [sw-1:0] m4s1_sel;
1149
wire                    m4s1_we;
1150
wire                    m4s1_cyc;
1151
wire                    m4s1_stb;
1152
wire                    m4s1_ack;
1153
wire                    m4s1_err;
1154
wire                    m4s1_rty;
1155
wire    [dw-1:0] m4s2_data_i;
1156
wire    [dw-1:0] m4s2_data_o;
1157
wire    [aw-1:0] m4s2_addr;
1158
wire    [sw-1:0] m4s2_sel;
1159
wire                    m4s2_we;
1160
wire                    m4s2_cyc;
1161
wire                    m4s2_stb;
1162
wire                    m4s2_ack;
1163
wire                    m4s2_err;
1164
wire                    m4s2_rty;
1165
wire    [dw-1:0] m4s3_data_i;
1166
wire    [dw-1:0] m4s3_data_o;
1167
wire    [aw-1:0] m4s3_addr;
1168
wire    [sw-1:0] m4s3_sel;
1169
wire                    m4s3_we;
1170
wire                    m4s3_cyc;
1171
wire                    m4s3_stb;
1172
wire                    m4s3_ack;
1173
wire                    m4s3_err;
1174
wire                    m4s3_rty;
1175
wire    [dw-1:0] m4s4_data_i;
1176
wire    [dw-1:0] m4s4_data_o;
1177
wire    [aw-1:0] m4s4_addr;
1178
wire    [sw-1:0] m4s4_sel;
1179
wire                    m4s4_we;
1180
wire                    m4s4_cyc;
1181
wire                    m4s4_stb;
1182
wire                    m4s4_ack;
1183
wire                    m4s4_err;
1184
wire                    m4s4_rty;
1185
wire    [dw-1:0] m4s5_data_i;
1186
wire    [dw-1:0] m4s5_data_o;
1187
wire    [aw-1:0] m4s5_addr;
1188
wire    [sw-1:0] m4s5_sel;
1189
wire                    m4s5_we;
1190
wire                    m4s5_cyc;
1191
wire                    m4s5_stb;
1192
wire                    m4s5_ack;
1193
wire                    m4s5_err;
1194
wire                    m4s5_rty;
1195
wire    [dw-1:0] m4s6_data_i;
1196
wire    [dw-1:0] m4s6_data_o;
1197
wire    [aw-1:0] m4s6_addr;
1198
wire    [sw-1:0] m4s6_sel;
1199
wire                    m4s6_we;
1200
wire                    m4s6_cyc;
1201
wire                    m4s6_stb;
1202
wire                    m4s6_ack;
1203
wire                    m4s6_err;
1204
wire                    m4s6_rty;
1205
wire    [dw-1:0] m4s7_data_i;
1206
wire    [dw-1:0] m4s7_data_o;
1207
wire    [aw-1:0] m4s7_addr;
1208
wire    [sw-1:0] m4s7_sel;
1209
wire                    m4s7_we;
1210
wire                    m4s7_cyc;
1211
wire                    m4s7_stb;
1212
wire                    m4s7_ack;
1213
wire                    m4s7_err;
1214
wire                    m4s7_rty;
1215
wire    [dw-1:0] m4s8_data_i;
1216
wire    [dw-1:0] m4s8_data_o;
1217
wire    [aw-1:0] m4s8_addr;
1218
wire    [sw-1:0] m4s8_sel;
1219
wire                    m4s8_we;
1220
wire                    m4s8_cyc;
1221
wire                    m4s8_stb;
1222
wire                    m4s8_ack;
1223
wire                    m4s8_err;
1224
wire                    m4s8_rty;
1225
wire    [dw-1:0] m4s9_data_i;
1226
wire    [dw-1:0] m4s9_data_o;
1227
wire    [aw-1:0] m4s9_addr;
1228
wire    [sw-1:0] m4s9_sel;
1229
wire                    m4s9_we;
1230
wire                    m4s9_cyc;
1231
wire                    m4s9_stb;
1232
wire                    m4s9_ack;
1233
wire                    m4s9_err;
1234
wire                    m4s9_rty;
1235
wire    [dw-1:0] m4s10_data_i;
1236
wire    [dw-1:0] m4s10_data_o;
1237
wire    [aw-1:0] m4s10_addr;
1238
wire    [sw-1:0] m4s10_sel;
1239
wire                    m4s10_we;
1240
wire                    m4s10_cyc;
1241
wire                    m4s10_stb;
1242
wire                    m4s10_ack;
1243
wire                    m4s10_err;
1244
wire                    m4s10_rty;
1245
wire    [dw-1:0] m4s11_data_i;
1246
wire    [dw-1:0] m4s11_data_o;
1247
wire    [aw-1:0] m4s11_addr;
1248
wire    [sw-1:0] m4s11_sel;
1249
wire                    m4s11_we;
1250
wire                    m4s11_cyc;
1251
wire                    m4s11_stb;
1252
wire                    m4s11_ack;
1253
wire                    m4s11_err;
1254
wire                    m4s11_rty;
1255
wire    [dw-1:0] m4s12_data_i;
1256
wire    [dw-1:0] m4s12_data_o;
1257
wire    [aw-1:0] m4s12_addr;
1258
wire    [sw-1:0] m4s12_sel;
1259
wire                    m4s12_we;
1260
wire                    m4s12_cyc;
1261
wire                    m4s12_stb;
1262
wire                    m4s12_ack;
1263
wire                    m4s12_err;
1264
wire                    m4s12_rty;
1265
wire    [dw-1:0] m4s13_data_i;
1266
wire    [dw-1:0] m4s13_data_o;
1267
wire    [aw-1:0] m4s13_addr;
1268
wire    [sw-1:0] m4s13_sel;
1269
wire                    m4s13_we;
1270
wire                    m4s13_cyc;
1271
wire                    m4s13_stb;
1272
wire                    m4s13_ack;
1273
wire                    m4s13_err;
1274
wire                    m4s13_rty;
1275
wire    [dw-1:0] m4s14_data_i;
1276
wire    [dw-1:0] m4s14_data_o;
1277
wire    [aw-1:0] m4s14_addr;
1278
wire    [sw-1:0] m4s14_sel;
1279
wire                    m4s14_we;
1280
wire                    m4s14_cyc;
1281
wire                    m4s14_stb;
1282
wire                    m4s14_ack;
1283
wire                    m4s14_err;
1284
wire                    m4s14_rty;
1285
wire    [dw-1:0] m4s15_data_i;
1286
wire    [dw-1:0] m4s15_data_o;
1287
wire    [aw-1:0] m4s15_addr;
1288
wire    [sw-1:0] m4s15_sel;
1289
wire                    m4s15_we;
1290
wire                    m4s15_cyc;
1291
wire                    m4s15_stb;
1292
wire                    m4s15_ack;
1293
wire                    m4s15_err;
1294
wire                    m4s15_rty;
1295
wire    [dw-1:0] m5s0_data_i;
1296
wire    [dw-1:0] m5s0_data_o;
1297
wire    [aw-1:0] m5s0_addr;
1298
wire    [sw-1:0] m5s0_sel;
1299
wire                    m5s0_we;
1300
wire                    m5s0_cyc;
1301
wire                    m5s0_stb;
1302
wire                    m5s0_ack;
1303
wire                    m5s0_err;
1304
wire                    m5s0_rty;
1305
wire    [dw-1:0] m5s1_data_i;
1306
wire    [dw-1:0] m5s1_data_o;
1307
wire    [aw-1:0] m5s1_addr;
1308
wire    [sw-1:0] m5s1_sel;
1309
wire                    m5s1_we;
1310
wire                    m5s1_cyc;
1311
wire                    m5s1_stb;
1312
wire                    m5s1_ack;
1313
wire                    m5s1_err;
1314
wire                    m5s1_rty;
1315
wire    [dw-1:0] m5s2_data_i;
1316
wire    [dw-1:0] m5s2_data_o;
1317
wire    [aw-1:0] m5s2_addr;
1318
wire    [sw-1:0] m5s2_sel;
1319
wire                    m5s2_we;
1320
wire                    m5s2_cyc;
1321
wire                    m5s2_stb;
1322
wire                    m5s2_ack;
1323
wire                    m5s2_err;
1324
wire                    m5s2_rty;
1325
wire    [dw-1:0] m5s3_data_i;
1326
wire    [dw-1:0] m5s3_data_o;
1327
wire    [aw-1:0] m5s3_addr;
1328
wire    [sw-1:0] m5s3_sel;
1329
wire                    m5s3_we;
1330
wire                    m5s3_cyc;
1331
wire                    m5s3_stb;
1332
wire                    m5s3_ack;
1333
wire                    m5s3_err;
1334
wire                    m5s3_rty;
1335
wire    [dw-1:0] m5s4_data_i;
1336
wire    [dw-1:0] m5s4_data_o;
1337
wire    [aw-1:0] m5s4_addr;
1338
wire    [sw-1:0] m5s4_sel;
1339
wire                    m5s4_we;
1340
wire                    m5s4_cyc;
1341
wire                    m5s4_stb;
1342
wire                    m5s4_ack;
1343
wire                    m5s4_err;
1344
wire                    m5s4_rty;
1345
wire    [dw-1:0] m5s5_data_i;
1346
wire    [dw-1:0] m5s5_data_o;
1347
wire    [aw-1:0] m5s5_addr;
1348
wire    [sw-1:0] m5s5_sel;
1349
wire                    m5s5_we;
1350
wire                    m5s5_cyc;
1351
wire                    m5s5_stb;
1352
wire                    m5s5_ack;
1353
wire                    m5s5_err;
1354
wire                    m5s5_rty;
1355
wire    [dw-1:0] m5s6_data_i;
1356
wire    [dw-1:0] m5s6_data_o;
1357
wire    [aw-1:0] m5s6_addr;
1358
wire    [sw-1:0] m5s6_sel;
1359
wire                    m5s6_we;
1360
wire                    m5s6_cyc;
1361
wire                    m5s6_stb;
1362
wire                    m5s6_ack;
1363
wire                    m5s6_err;
1364
wire                    m5s6_rty;
1365
wire    [dw-1:0] m5s7_data_i;
1366
wire    [dw-1:0] m5s7_data_o;
1367
wire    [aw-1:0] m5s7_addr;
1368
wire    [sw-1:0] m5s7_sel;
1369
wire                    m5s7_we;
1370
wire                    m5s7_cyc;
1371
wire                    m5s7_stb;
1372
wire                    m5s7_ack;
1373
wire                    m5s7_err;
1374
wire                    m5s7_rty;
1375
wire    [dw-1:0] m5s8_data_i;
1376
wire    [dw-1:0] m5s8_data_o;
1377
wire    [aw-1:0] m5s8_addr;
1378
wire    [sw-1:0] m5s8_sel;
1379
wire                    m5s8_we;
1380
wire                    m5s8_cyc;
1381
wire                    m5s8_stb;
1382
wire                    m5s8_ack;
1383
wire                    m5s8_err;
1384
wire                    m5s8_rty;
1385
wire    [dw-1:0] m5s9_data_i;
1386
wire    [dw-1:0] m5s9_data_o;
1387
wire    [aw-1:0] m5s9_addr;
1388
wire    [sw-1:0] m5s9_sel;
1389
wire                    m5s9_we;
1390
wire                    m5s9_cyc;
1391
wire                    m5s9_stb;
1392
wire                    m5s9_ack;
1393
wire                    m5s9_err;
1394
wire                    m5s9_rty;
1395
wire    [dw-1:0] m5s10_data_i;
1396
wire    [dw-1:0] m5s10_data_o;
1397
wire    [aw-1:0] m5s10_addr;
1398
wire    [sw-1:0] m5s10_sel;
1399
wire                    m5s10_we;
1400
wire                    m5s10_cyc;
1401
wire                    m5s10_stb;
1402
wire                    m5s10_ack;
1403
wire                    m5s10_err;
1404
wire                    m5s10_rty;
1405
wire    [dw-1:0] m5s11_data_i;
1406
wire    [dw-1:0] m5s11_data_o;
1407
wire    [aw-1:0] m5s11_addr;
1408
wire    [sw-1:0] m5s11_sel;
1409
wire                    m5s11_we;
1410
wire                    m5s11_cyc;
1411
wire                    m5s11_stb;
1412
wire                    m5s11_ack;
1413
wire                    m5s11_err;
1414
wire                    m5s11_rty;
1415
wire    [dw-1:0] m5s12_data_i;
1416
wire    [dw-1:0] m5s12_data_o;
1417
wire    [aw-1:0] m5s12_addr;
1418
wire    [sw-1:0] m5s12_sel;
1419
wire                    m5s12_we;
1420
wire                    m5s12_cyc;
1421
wire                    m5s12_stb;
1422
wire                    m5s12_ack;
1423
wire                    m5s12_err;
1424
wire                    m5s12_rty;
1425
wire    [dw-1:0] m5s13_data_i;
1426
wire    [dw-1:0] m5s13_data_o;
1427
wire    [aw-1:0] m5s13_addr;
1428
wire    [sw-1:0] m5s13_sel;
1429
wire                    m5s13_we;
1430
wire                    m5s13_cyc;
1431
wire                    m5s13_stb;
1432
wire                    m5s13_ack;
1433
wire                    m5s13_err;
1434
wire                    m5s13_rty;
1435
wire    [dw-1:0] m5s14_data_i;
1436
wire    [dw-1:0] m5s14_data_o;
1437
wire    [aw-1:0] m5s14_addr;
1438
wire    [sw-1:0] m5s14_sel;
1439
wire                    m5s14_we;
1440
wire                    m5s14_cyc;
1441
wire                    m5s14_stb;
1442
wire                    m5s14_ack;
1443
wire                    m5s14_err;
1444
wire                    m5s14_rty;
1445
wire    [dw-1:0] m5s15_data_i;
1446
wire    [dw-1:0] m5s15_data_o;
1447
wire    [aw-1:0] m5s15_addr;
1448
wire    [sw-1:0] m5s15_sel;
1449
wire                    m5s15_we;
1450
wire                    m5s15_cyc;
1451
wire                    m5s15_stb;
1452
wire                    m5s15_ack;
1453
wire                    m5s15_err;
1454
wire                    m5s15_rty;
1455
wire    [dw-1:0] m6s0_data_i;
1456
wire    [dw-1:0] m6s0_data_o;
1457
wire    [aw-1:0] m6s0_addr;
1458
wire    [sw-1:0] m6s0_sel;
1459
wire                    m6s0_we;
1460
wire                    m6s0_cyc;
1461
wire                    m6s0_stb;
1462
wire                    m6s0_ack;
1463
wire                    m6s0_err;
1464
wire                    m6s0_rty;
1465
wire    [dw-1:0] m6s1_data_i;
1466
wire    [dw-1:0] m6s1_data_o;
1467
wire    [aw-1:0] m6s1_addr;
1468
wire    [sw-1:0] m6s1_sel;
1469
wire                    m6s1_we;
1470
wire                    m6s1_cyc;
1471
wire                    m6s1_stb;
1472
wire                    m6s1_ack;
1473
wire                    m6s1_err;
1474
wire                    m6s1_rty;
1475
wire    [dw-1:0] m6s2_data_i;
1476
wire    [dw-1:0] m6s2_data_o;
1477
wire    [aw-1:0] m6s2_addr;
1478
wire    [sw-1:0] m6s2_sel;
1479
wire                    m6s2_we;
1480
wire                    m6s2_cyc;
1481
wire                    m6s2_stb;
1482
wire                    m6s2_ack;
1483
wire                    m6s2_err;
1484
wire                    m6s2_rty;
1485
wire    [dw-1:0] m6s3_data_i;
1486
wire    [dw-1:0] m6s3_data_o;
1487
wire    [aw-1:0] m6s3_addr;
1488
wire    [sw-1:0] m6s3_sel;
1489
wire                    m6s3_we;
1490
wire                    m6s3_cyc;
1491
wire                    m6s3_stb;
1492
wire                    m6s3_ack;
1493
wire                    m6s3_err;
1494
wire                    m6s3_rty;
1495
wire    [dw-1:0] m6s4_data_i;
1496
wire    [dw-1:0] m6s4_data_o;
1497
wire    [aw-1:0] m6s4_addr;
1498
wire    [sw-1:0] m6s4_sel;
1499
wire                    m6s4_we;
1500
wire                    m6s4_cyc;
1501
wire                    m6s4_stb;
1502
wire                    m6s4_ack;
1503
wire                    m6s4_err;
1504
wire                    m6s4_rty;
1505
wire    [dw-1:0] m6s5_data_i;
1506
wire    [dw-1:0] m6s5_data_o;
1507
wire    [aw-1:0] m6s5_addr;
1508
wire    [sw-1:0] m6s5_sel;
1509
wire                    m6s5_we;
1510
wire                    m6s5_cyc;
1511
wire                    m6s5_stb;
1512
wire                    m6s5_ack;
1513
wire                    m6s5_err;
1514
wire                    m6s5_rty;
1515
wire    [dw-1:0] m6s6_data_i;
1516
wire    [dw-1:0] m6s6_data_o;
1517
wire    [aw-1:0] m6s6_addr;
1518
wire    [sw-1:0] m6s6_sel;
1519
wire                    m6s6_we;
1520
wire                    m6s6_cyc;
1521
wire                    m6s6_stb;
1522
wire                    m6s6_ack;
1523
wire                    m6s6_err;
1524
wire                    m6s6_rty;
1525
wire    [dw-1:0] m6s7_data_i;
1526
wire    [dw-1:0] m6s7_data_o;
1527
wire    [aw-1:0] m6s7_addr;
1528
wire    [sw-1:0] m6s7_sel;
1529
wire                    m6s7_we;
1530
wire                    m6s7_cyc;
1531
wire                    m6s7_stb;
1532
wire                    m6s7_ack;
1533
wire                    m6s7_err;
1534
wire                    m6s7_rty;
1535
wire    [dw-1:0] m6s8_data_i;
1536
wire    [dw-1:0] m6s8_data_o;
1537
wire    [aw-1:0] m6s8_addr;
1538
wire    [sw-1:0] m6s8_sel;
1539
wire                    m6s8_we;
1540
wire                    m6s8_cyc;
1541
wire                    m6s8_stb;
1542
wire                    m6s8_ack;
1543
wire                    m6s8_err;
1544
wire                    m6s8_rty;
1545
wire    [dw-1:0] m6s9_data_i;
1546
wire    [dw-1:0] m6s9_data_o;
1547
wire    [aw-1:0] m6s9_addr;
1548
wire    [sw-1:0] m6s9_sel;
1549
wire                    m6s9_we;
1550
wire                    m6s9_cyc;
1551
wire                    m6s9_stb;
1552
wire                    m6s9_ack;
1553
wire                    m6s9_err;
1554
wire                    m6s9_rty;
1555
wire    [dw-1:0] m6s10_data_i;
1556
wire    [dw-1:0] m6s10_data_o;
1557
wire    [aw-1:0] m6s10_addr;
1558
wire    [sw-1:0] m6s10_sel;
1559
wire                    m6s10_we;
1560
wire                    m6s10_cyc;
1561
wire                    m6s10_stb;
1562
wire                    m6s10_ack;
1563
wire                    m6s10_err;
1564
wire                    m6s10_rty;
1565
wire    [dw-1:0] m6s11_data_i;
1566
wire    [dw-1:0] m6s11_data_o;
1567
wire    [aw-1:0] m6s11_addr;
1568
wire    [sw-1:0] m6s11_sel;
1569
wire                    m6s11_we;
1570
wire                    m6s11_cyc;
1571
wire                    m6s11_stb;
1572
wire                    m6s11_ack;
1573
wire                    m6s11_err;
1574
wire                    m6s11_rty;
1575
wire    [dw-1:0] m6s12_data_i;
1576
wire    [dw-1:0] m6s12_data_o;
1577
wire    [aw-1:0] m6s12_addr;
1578
wire    [sw-1:0] m6s12_sel;
1579
wire                    m6s12_we;
1580
wire                    m6s12_cyc;
1581
wire                    m6s12_stb;
1582
wire                    m6s12_ack;
1583
wire                    m6s12_err;
1584
wire                    m6s12_rty;
1585
wire    [dw-1:0] m6s13_data_i;
1586
wire    [dw-1:0] m6s13_data_o;
1587
wire    [aw-1:0] m6s13_addr;
1588
wire    [sw-1:0] m6s13_sel;
1589
wire                    m6s13_we;
1590
wire                    m6s13_cyc;
1591
wire                    m6s13_stb;
1592
wire                    m6s13_ack;
1593
wire                    m6s13_err;
1594
wire                    m6s13_rty;
1595
wire    [dw-1:0] m6s14_data_i;
1596
wire    [dw-1:0] m6s14_data_o;
1597
wire    [aw-1:0] m6s14_addr;
1598
wire    [sw-1:0] m6s14_sel;
1599
wire                    m6s14_we;
1600
wire                    m6s14_cyc;
1601
wire                    m6s14_stb;
1602
wire                    m6s14_ack;
1603
wire                    m6s14_err;
1604
wire                    m6s14_rty;
1605
wire    [dw-1:0] m6s15_data_i;
1606
wire    [dw-1:0] m6s15_data_o;
1607
wire    [aw-1:0] m6s15_addr;
1608
wire    [sw-1:0] m6s15_sel;
1609
wire                    m6s15_we;
1610
wire                    m6s15_cyc;
1611
wire                    m6s15_stb;
1612
wire                    m6s15_ack;
1613
wire                    m6s15_err;
1614
wire                    m6s15_rty;
1615
wire    [dw-1:0] m7s0_data_i;
1616
wire    [dw-1:0] m7s0_data_o;
1617
wire    [aw-1:0] m7s0_addr;
1618
wire    [sw-1:0] m7s0_sel;
1619
wire                    m7s0_we;
1620
wire                    m7s0_cyc;
1621
wire                    m7s0_stb;
1622
wire                    m7s0_ack;
1623
wire                    m7s0_err;
1624
wire                    m7s0_rty;
1625
wire    [dw-1:0] m7s1_data_i;
1626
wire    [dw-1:0] m7s1_data_o;
1627
wire    [aw-1:0] m7s1_addr;
1628
wire    [sw-1:0] m7s1_sel;
1629
wire                    m7s1_we;
1630
wire                    m7s1_cyc;
1631
wire                    m7s1_stb;
1632
wire                    m7s1_ack;
1633
wire                    m7s1_err;
1634
wire                    m7s1_rty;
1635
wire    [dw-1:0] m7s2_data_i;
1636
wire    [dw-1:0] m7s2_data_o;
1637
wire    [aw-1:0] m7s2_addr;
1638
wire    [sw-1:0] m7s2_sel;
1639
wire                    m7s2_we;
1640
wire                    m7s2_cyc;
1641
wire                    m7s2_stb;
1642
wire                    m7s2_ack;
1643
wire                    m7s2_err;
1644
wire                    m7s2_rty;
1645
wire    [dw-1:0] m7s3_data_i;
1646
wire    [dw-1:0] m7s3_data_o;
1647
wire    [aw-1:0] m7s3_addr;
1648
wire    [sw-1:0] m7s3_sel;
1649
wire                    m7s3_we;
1650
wire                    m7s3_cyc;
1651
wire                    m7s3_stb;
1652
wire                    m7s3_ack;
1653
wire                    m7s3_err;
1654
wire                    m7s3_rty;
1655
wire    [dw-1:0] m7s4_data_i;
1656
wire    [dw-1:0] m7s4_data_o;
1657
wire    [aw-1:0] m7s4_addr;
1658
wire    [sw-1:0] m7s4_sel;
1659
wire                    m7s4_we;
1660
wire                    m7s4_cyc;
1661
wire                    m7s4_stb;
1662
wire                    m7s4_ack;
1663
wire                    m7s4_err;
1664
wire                    m7s4_rty;
1665
wire    [dw-1:0] m7s5_data_i;
1666
wire    [dw-1:0] m7s5_data_o;
1667
wire    [aw-1:0] m7s5_addr;
1668
wire    [sw-1:0] m7s5_sel;
1669
wire                    m7s5_we;
1670
wire                    m7s5_cyc;
1671
wire                    m7s5_stb;
1672
wire                    m7s5_ack;
1673
wire                    m7s5_err;
1674
wire                    m7s5_rty;
1675
wire    [dw-1:0] m7s6_data_i;
1676
wire    [dw-1:0] m7s6_data_o;
1677
wire    [aw-1:0] m7s6_addr;
1678
wire    [sw-1:0] m7s6_sel;
1679
wire                    m7s6_we;
1680
wire                    m7s6_cyc;
1681
wire                    m7s6_stb;
1682
wire                    m7s6_ack;
1683
wire                    m7s6_err;
1684
wire                    m7s6_rty;
1685
wire    [dw-1:0] m7s7_data_i;
1686
wire    [dw-1:0] m7s7_data_o;
1687
wire    [aw-1:0] m7s7_addr;
1688
wire    [sw-1:0] m7s7_sel;
1689
wire                    m7s7_we;
1690
wire                    m7s7_cyc;
1691
wire                    m7s7_stb;
1692
wire                    m7s7_ack;
1693
wire                    m7s7_err;
1694
wire                    m7s7_rty;
1695
wire    [dw-1:0] m7s8_data_i;
1696
wire    [dw-1:0] m7s8_data_o;
1697
wire    [aw-1:0] m7s8_addr;
1698
wire    [sw-1:0] m7s8_sel;
1699
wire                    m7s8_we;
1700
wire                    m7s8_cyc;
1701
wire                    m7s8_stb;
1702
wire                    m7s8_ack;
1703
wire                    m7s8_err;
1704
wire                    m7s8_rty;
1705
wire    [dw-1:0] m7s9_data_i;
1706
wire    [dw-1:0] m7s9_data_o;
1707
wire    [aw-1:0] m7s9_addr;
1708
wire    [sw-1:0] m7s9_sel;
1709
wire                    m7s9_we;
1710
wire                    m7s9_cyc;
1711
wire                    m7s9_stb;
1712
wire                    m7s9_ack;
1713
wire                    m7s9_err;
1714
wire                    m7s9_rty;
1715
wire    [dw-1:0] m7s10_data_i;
1716
wire    [dw-1:0] m7s10_data_o;
1717
wire    [aw-1:0] m7s10_addr;
1718
wire    [sw-1:0] m7s10_sel;
1719
wire                    m7s10_we;
1720
wire                    m7s10_cyc;
1721
wire                    m7s10_stb;
1722
wire                    m7s10_ack;
1723
wire                    m7s10_err;
1724
wire                    m7s10_rty;
1725
wire    [dw-1:0] m7s11_data_i;
1726
wire    [dw-1:0] m7s11_data_o;
1727
wire    [aw-1:0] m7s11_addr;
1728
wire    [sw-1:0] m7s11_sel;
1729
wire                    m7s11_we;
1730
wire                    m7s11_cyc;
1731
wire                    m7s11_stb;
1732
wire                    m7s11_ack;
1733
wire                    m7s11_err;
1734
wire                    m7s11_rty;
1735
wire    [dw-1:0] m7s12_data_i;
1736
wire    [dw-1:0] m7s12_data_o;
1737
wire    [aw-1:0] m7s12_addr;
1738
wire    [sw-1:0] m7s12_sel;
1739
wire                    m7s12_we;
1740
wire                    m7s12_cyc;
1741
wire                    m7s12_stb;
1742
wire                    m7s12_ack;
1743
wire                    m7s12_err;
1744
wire                    m7s12_rty;
1745
wire    [dw-1:0] m7s13_data_i;
1746
wire    [dw-1:0] m7s13_data_o;
1747
wire    [aw-1:0] m7s13_addr;
1748
wire    [sw-1:0] m7s13_sel;
1749
wire                    m7s13_we;
1750
wire                    m7s13_cyc;
1751
wire                    m7s13_stb;
1752
wire                    m7s13_ack;
1753
wire                    m7s13_err;
1754
wire                    m7s13_rty;
1755
wire    [dw-1:0] m7s14_data_i;
1756
wire    [dw-1:0] m7s14_data_o;
1757
wire    [aw-1:0] m7s14_addr;
1758
wire    [sw-1:0] m7s14_sel;
1759
wire                    m7s14_we;
1760
wire                    m7s14_cyc;
1761
wire                    m7s14_stb;
1762
wire                    m7s14_ack;
1763
wire                    m7s14_err;
1764
wire                    m7s14_rty;
1765
wire    [dw-1:0] m7s15_data_i;
1766
wire    [dw-1:0] m7s15_data_o;
1767
wire    [aw-1:0] m7s15_addr;
1768
wire    [sw-1:0] m7s15_sel;
1769
wire                    m7s15_we;
1770
wire                    m7s15_cyc;
1771
wire                    m7s15_stb;
1772
wire                    m7s15_ack;
1773
wire                    m7s15_err;
1774
wire                    m7s15_rty;
1775
 
1776
wire    [15:0]           conf0;
1777
wire    [15:0]           conf1;
1778
wire    [15:0]           conf2;
1779
wire    [15:0]           conf3;
1780
wire    [15:0]           conf4;
1781
wire    [15:0]           conf5;
1782
wire    [15:0]           conf6;
1783
wire    [15:0]           conf7;
1784
wire    [15:0]           conf8;
1785
wire    [15:0]           conf9;
1786
wire    [15:0]           conf10;
1787
wire    [15:0]           conf11;
1788
wire    [15:0]           conf12;
1789
wire    [15:0]           conf13;
1790
wire    [15:0]           conf14;
1791
wire    [15:0]           conf15;
1792
 
1793
////////////////////////////////////////////////////////////////////
1794
//
1795
// Initial Configuration Check
1796
//
1797
 
1798
// synopsys translate_off
1799
initial
1800
   begin
1801
        if(dw<16)
1802
           begin
1803
                $display("ERROR: Setting Data bus width to less than 16 bits, will");
1804
                $display("       make it impossible to use the configurations registers.");
1805
                $finish;
1806
           end
1807
   end
1808
// synopsys translate_on
1809
 
1810
////////////////////////////////////////////////////////////////////
1811
//
1812
// Master Interfaces
1813
//
1814
 
1815
wb_conmax_master_if #(aw,dw,sw) m0(
1816
                .clk_i(         clk_i           ),
1817
                .rst_i(         rst_i           ),
1818
                .wb_data_i(     m0_data_i       ),
1819
                .wb_data_o(     m0_data_o       ),
1820
                .wb_addr_i(     m0_addr_i       ),
1821
                .wb_sel_i(      m0_sel_i        ),
1822
                .wb_we_i(       m0_we_i         ),
1823
                .wb_cyc_i(      m0_cyc_i        ),
1824
                .wb_stb_i(      m0_stb_i        ),
1825
                .wb_ack_o(      m0_ack_o        ),
1826
                .wb_err_o(      m0_err_o        ),
1827
                .wb_rty_o(      m0_rty_o        ),
1828
                .s0_data_i(     m0s0_data_i     ),
1829
                .s0_data_o(     m0s0_data_o     ),
1830
                .s0_addr_o(     m0s0_addr       ),
1831
                .s0_sel_o(      m0s0_sel        ),
1832
                .s0_we_o(       m0s0_we         ),
1833
                .s0_cyc_o(      m0s0_cyc        ),
1834
                .s0_stb_o(      m0s0_stb        ),
1835
                .s0_ack_i(      m0s0_ack        ),
1836
                .s0_err_i(      m0s0_err        ),
1837
                .s0_rty_i(      m0s0_rty        ),
1838
                .s1_data_i(     m0s1_data_i     ),
1839
                .s1_data_o(     m0s1_data_o     ),
1840
                .s1_addr_o(     m0s1_addr       ),
1841
                .s1_sel_o(      m0s1_sel        ),
1842
                .s1_we_o(       m0s1_we         ),
1843
                .s1_cyc_o(      m0s1_cyc        ),
1844
                .s1_stb_o(      m0s1_stb        ),
1845
                .s1_ack_i(      m0s1_ack        ),
1846
                .s1_err_i(      m0s1_err        ),
1847
                .s1_rty_i(      m0s1_rty        ),
1848
                .s2_data_i(     m0s2_data_i     ),
1849
                .s2_data_o(     m0s2_data_o     ),
1850
                .s2_addr_o(     m0s2_addr       ),
1851
                .s2_sel_o(      m0s2_sel        ),
1852
                .s2_we_o(       m0s2_we         ),
1853
                .s2_cyc_o(      m0s2_cyc        ),
1854
                .s2_stb_o(      m0s2_stb        ),
1855
                .s2_ack_i(      m0s2_ack        ),
1856
                .s2_err_i(      m0s2_err        ),
1857
                .s2_rty_i(      m0s2_rty        ),
1858
                .s3_data_i(     m0s3_data_i     ),
1859
                .s3_data_o(     m0s3_data_o     ),
1860
                .s3_addr_o(     m0s3_addr       ),
1861
                .s3_sel_o(      m0s3_sel        ),
1862
                .s3_we_o(       m0s3_we         ),
1863
                .s3_cyc_o(      m0s3_cyc        ),
1864
                .s3_stb_o(      m0s3_stb        ),
1865
                .s3_ack_i(      m0s3_ack        ),
1866
                .s3_err_i(      m0s3_err        ),
1867
                .s3_rty_i(      m0s3_rty        ),
1868
                .s4_data_i(     m0s4_data_i     ),
1869
                .s4_data_o(     m0s4_data_o     ),
1870
                .s4_addr_o(     m0s4_addr       ),
1871
                .s4_sel_o(      m0s4_sel        ),
1872
                .s4_we_o(       m0s4_we         ),
1873
                .s4_cyc_o(      m0s4_cyc        ),
1874
                .s4_stb_o(      m0s4_stb        ),
1875
                .s4_ack_i(      m0s4_ack        ),
1876
                .s4_err_i(      m0s4_err        ),
1877
                .s4_rty_i(      m0s4_rty        ),
1878
                .s5_data_i(     m0s5_data_i     ),
1879
                .s5_data_o(     m0s5_data_o     ),
1880
                .s5_addr_o(     m0s5_addr       ),
1881
                .s5_sel_o(      m0s5_sel        ),
1882
                .s5_we_o(       m0s5_we         ),
1883
                .s5_cyc_o(      m0s5_cyc        ),
1884
                .s5_stb_o(      m0s5_stb        ),
1885
                .s5_ack_i(      m0s5_ack        ),
1886
                .s5_err_i(      m0s5_err        ),
1887
                .s5_rty_i(      m0s5_rty        ),
1888
                .s6_data_i(     m0s6_data_i     ),
1889
                .s6_data_o(     m0s6_data_o     ),
1890
                .s6_addr_o(     m0s6_addr       ),
1891
                .s6_sel_o(      m0s6_sel        ),
1892
                .s6_we_o(       m0s6_we         ),
1893
                .s6_cyc_o(      m0s6_cyc        ),
1894
                .s6_stb_o(      m0s6_stb        ),
1895
                .s6_ack_i(      m0s6_ack        ),
1896
                .s6_err_i(      m0s6_err        ),
1897
                .s6_rty_i(      m0s6_rty        ),
1898
                .s7_data_i(     m0s7_data_i     ),
1899
                .s7_data_o(     m0s7_data_o     ),
1900
                .s7_addr_o(     m0s7_addr       ),
1901
                .s7_sel_o(      m0s7_sel        ),
1902
                .s7_we_o(       m0s7_we         ),
1903
                .s7_cyc_o(      m0s7_cyc        ),
1904
                .s7_stb_o(      m0s7_stb        ),
1905
                .s7_ack_i(      m0s7_ack        ),
1906
                .s7_err_i(      m0s7_err        ),
1907
                .s7_rty_i(      m0s7_rty        ),
1908
                .s8_data_i(     m0s8_data_i     ),
1909
                .s8_data_o(     m0s8_data_o     ),
1910
                .s8_addr_o(     m0s8_addr       ),
1911
                .s8_sel_o(      m0s8_sel        ),
1912
                .s8_we_o(       m0s8_we         ),
1913
                .s8_cyc_o(      m0s8_cyc        ),
1914
                .s8_stb_o(      m0s8_stb        ),
1915
                .s8_ack_i(      m0s8_ack        ),
1916
                .s8_err_i(      m0s8_err        ),
1917
                .s8_rty_i(      m0s8_rty        ),
1918
                .s9_data_i(     m0s9_data_i     ),
1919
                .s9_data_o(     m0s9_data_o     ),
1920
                .s9_addr_o(     m0s9_addr       ),
1921
                .s9_sel_o(      m0s9_sel        ),
1922
                .s9_we_o(       m0s9_we         ),
1923
                .s9_cyc_o(      m0s9_cyc        ),
1924
                .s9_stb_o(      m0s9_stb        ),
1925
                .s9_ack_i(      m0s9_ack        ),
1926
                .s9_err_i(      m0s9_err        ),
1927
                .s9_rty_i(      m0s9_rty        ),
1928
                .s10_data_i(    m0s10_data_i    ),
1929
                .s10_data_o(    m0s10_data_o    ),
1930
                .s10_addr_o(    m0s10_addr      ),
1931
                .s10_sel_o(     m0s10_sel       ),
1932
                .s10_we_o(      m0s10_we        ),
1933
                .s10_cyc_o(     m0s10_cyc       ),
1934
                .s10_stb_o(     m0s10_stb       ),
1935
                .s10_ack_i(     m0s10_ack       ),
1936
                .s10_err_i(     m0s10_err       ),
1937
                .s10_rty_i(     m0s10_rty       ),
1938
                .s11_data_i(    m0s11_data_i    ),
1939
                .s11_data_o(    m0s11_data_o    ),
1940
                .s11_addr_o(    m0s11_addr      ),
1941
                .s11_sel_o(     m0s11_sel       ),
1942
                .s11_we_o(      m0s11_we        ),
1943
                .s11_cyc_o(     m0s11_cyc       ),
1944
                .s11_stb_o(     m0s11_stb       ),
1945
                .s11_ack_i(     m0s11_ack       ),
1946
                .s11_err_i(     m0s11_err       ),
1947
                .s11_rty_i(     m0s11_rty       ),
1948
                .s12_data_i(    m0s12_data_i    ),
1949
                .s12_data_o(    m0s12_data_o    ),
1950
                .s12_addr_o(    m0s12_addr      ),
1951
                .s12_sel_o(     m0s12_sel       ),
1952
                .s12_we_o(      m0s12_we        ),
1953
                .s12_cyc_o(     m0s12_cyc       ),
1954
                .s12_stb_o(     m0s12_stb       ),
1955
                .s12_ack_i(     m0s12_ack       ),
1956
                .s12_err_i(     m0s12_err       ),
1957
                .s12_rty_i(     m0s12_rty       ),
1958
                .s13_data_i(    m0s13_data_i    ),
1959
                .s13_data_o(    m0s13_data_o    ),
1960
                .s13_addr_o(    m0s13_addr      ),
1961
                .s13_sel_o(     m0s13_sel       ),
1962
                .s13_we_o(      m0s13_we        ),
1963
                .s13_cyc_o(     m0s13_cyc       ),
1964
                .s13_stb_o(     m0s13_stb       ),
1965
                .s13_ack_i(     m0s13_ack       ),
1966
                .s13_err_i(     m0s13_err       ),
1967
                .s13_rty_i(     m0s13_rty       ),
1968
                .s14_data_i(    m0s14_data_i    ),
1969
                .s14_data_o(    m0s14_data_o    ),
1970
                .s14_addr_o(    m0s14_addr      ),
1971
                .s14_sel_o(     m0s14_sel       ),
1972
                .s14_we_o(      m0s14_we        ),
1973
                .s14_cyc_o(     m0s14_cyc       ),
1974
                .s14_stb_o(     m0s14_stb       ),
1975
                .s14_ack_i(     m0s14_ack       ),
1976
                .s14_err_i(     m0s14_err       ),
1977
                .s14_rty_i(     m0s14_rty       ),
1978
                .s15_data_i(    m0s15_data_i    ),
1979
                .s15_data_o(    m0s15_data_o    ),
1980
                .s15_addr_o(    m0s15_addr      ),
1981
                .s15_sel_o(     m0s15_sel       ),
1982
                .s15_we_o(      m0s15_we        ),
1983
                .s15_cyc_o(     m0s15_cyc       ),
1984
                .s15_stb_o(     m0s15_stb       ),
1985
                .s15_ack_i(     m0s15_ack       ),
1986
                .s15_err_i(     m0s15_err       ),
1987
                .s15_rty_i(     m0s15_rty       )
1988
                );
1989
 
1990
wb_conmax_master_if #(aw,dw,sw) m1(
1991
                .clk_i(         clk_i           ),
1992
                .rst_i(         rst_i           ),
1993
                .wb_data_i(     m1_data_i       ),
1994
                .wb_data_o(     m1_data_o       ),
1995
                .wb_addr_i(     m1_addr_i       ),
1996
                .wb_sel_i(      m1_sel_i        ),
1997
                .wb_we_i(       m1_we_i         ),
1998
                .wb_cyc_i(      m1_cyc_i        ),
1999
                .wb_stb_i(      m1_stb_i        ),
2000
                .wb_ack_o(      m1_ack_o        ),
2001
                .wb_err_o(      m1_err_o        ),
2002
                .wb_rty_o(      m1_rty_o        ),
2003
                .s0_data_i(     m1s0_data_i      ),
2004
                .s0_data_o(     m1s0_data_o      ),
2005
                .s0_addr_o(     m1s0_addr        ),
2006
                .s0_sel_o(      m1s0_sel ),
2007
                .s0_we_o(       m1s0_we          ),
2008
                .s0_cyc_o(      m1s0_cyc ),
2009
                .s0_stb_o(      m1s0_stb ),
2010
                .s0_ack_i(      m1s0_ack ),
2011
                .s0_err_i(      m1s0_err ),
2012
                .s0_rty_i(      m1s0_rty ),
2013
                .s1_data_i(     m1s1_data_i     ),
2014
                .s1_data_o(     m1s1_data_o     ),
2015
                .s1_addr_o(     m1s1_addr       ),
2016
                .s1_sel_o(      m1s1_sel        ),
2017
                .s1_we_o(       m1s1_we         ),
2018
                .s1_cyc_o(      m1s1_cyc        ),
2019
                .s1_stb_o(      m1s1_stb        ),
2020
                .s1_ack_i(      m1s1_ack        ),
2021
                .s1_err_i(      m1s1_err        ),
2022
                .s1_rty_i(      m1s1_rty        ),
2023
                .s2_data_i(     m1s2_data_i     ),
2024
                .s2_data_o(     m1s2_data_o     ),
2025
                .s2_addr_o(     m1s2_addr       ),
2026
                .s2_sel_o(      m1s2_sel        ),
2027
                .s2_we_o(       m1s2_we         ),
2028
                .s2_cyc_o(      m1s2_cyc        ),
2029
                .s2_stb_o(      m1s2_stb        ),
2030
                .s2_ack_i(      m1s2_ack        ),
2031
                .s2_err_i(      m1s2_err        ),
2032
                .s2_rty_i(      m1s2_rty        ),
2033
                .s3_data_i(     m1s3_data_i     ),
2034
                .s3_data_o(     m1s3_data_o     ),
2035
                .s3_addr_o(     m1s3_addr       ),
2036
                .s3_sel_o(      m1s3_sel        ),
2037
                .s3_we_o(       m1s3_we         ),
2038
                .s3_cyc_o(      m1s3_cyc        ),
2039
                .s3_stb_o(      m1s3_stb        ),
2040
                .s3_ack_i(      m1s3_ack        ),
2041
                .s3_err_i(      m1s3_err        ),
2042
                .s3_rty_i(      m1s3_rty        ),
2043
                .s4_data_i(     m1s4_data_i     ),
2044
                .s4_data_o(     m1s4_data_o     ),
2045
                .s4_addr_o(     m1s4_addr       ),
2046
                .s4_sel_o(      m1s4_sel        ),
2047
                .s4_we_o(       m1s4_we         ),
2048
                .s4_cyc_o(      m1s4_cyc        ),
2049
                .s4_stb_o(      m1s4_stb        ),
2050
                .s4_ack_i(      m1s4_ack        ),
2051
                .s4_err_i(      m1s4_err        ),
2052
                .s4_rty_i(      m1s4_rty        ),
2053
                .s5_data_i(     m1s5_data_i     ),
2054
                .s5_data_o(     m1s5_data_o     ),
2055
                .s5_addr_o(     m1s5_addr       ),
2056
                .s5_sel_o(      m1s5_sel        ),
2057
                .s5_we_o(       m1s5_we         ),
2058
                .s5_cyc_o(      m1s5_cyc        ),
2059
                .s5_stb_o(      m1s5_stb        ),
2060
                .s5_ack_i(      m1s5_ack        ),
2061
                .s5_err_i(      m1s5_err        ),
2062
                .s5_rty_i(      m1s5_rty        ),
2063
                .s6_data_i(     m1s6_data_i     ),
2064
                .s6_data_o(     m1s6_data_o     ),
2065
                .s6_addr_o(     m1s6_addr       ),
2066
                .s6_sel_o(      m1s6_sel        ),
2067
                .s6_we_o(       m1s6_we         ),
2068
                .s6_cyc_o(      m1s6_cyc        ),
2069
                .s6_stb_o(      m1s6_stb        ),
2070
                .s6_ack_i(      m1s6_ack        ),
2071
                .s6_err_i(      m1s6_err        ),
2072
                .s6_rty_i(      m1s6_rty        ),
2073
                .s7_data_i(     m1s7_data_i     ),
2074
                .s7_data_o(     m1s7_data_o     ),
2075
                .s7_addr_o(     m1s7_addr       ),
2076
                .s7_sel_o(      m1s7_sel        ),
2077
                .s7_we_o(       m1s7_we         ),
2078
                .s7_cyc_o(      m1s7_cyc        ),
2079
                .s7_stb_o(      m1s7_stb        ),
2080
                .s7_ack_i(      m1s7_ack        ),
2081
                .s7_err_i(      m1s7_err        ),
2082
                .s7_rty_i(      m1s7_rty        ),
2083
                .s8_data_i(     m1s8_data_i     ),
2084
                .s8_data_o(     m1s8_data_o     ),
2085
                .s8_addr_o(     m1s8_addr       ),
2086
                .s8_sel_o(      m1s8_sel        ),
2087
                .s8_we_o(       m1s8_we         ),
2088
                .s8_cyc_o(      m1s8_cyc        ),
2089
                .s8_stb_o(      m1s8_stb        ),
2090
                .s8_ack_i(      m1s8_ack        ),
2091
                .s8_err_i(      m1s8_err        ),
2092
                .s8_rty_i(      m1s8_rty        ),
2093
                .s9_data_i(     m1s9_data_i     ),
2094
                .s9_data_o(     m1s9_data_o     ),
2095
                .s9_addr_o(     m1s9_addr       ),
2096
                .s9_sel_o(      m1s9_sel        ),
2097
                .s9_we_o(       m1s9_we         ),
2098
                .s9_cyc_o(      m1s9_cyc        ),
2099
                .s9_stb_o(      m1s9_stb        ),
2100
                .s9_ack_i(      m1s9_ack        ),
2101
                .s9_err_i(      m1s9_err        ),
2102
                .s9_rty_i(      m1s9_rty        ),
2103
                .s10_data_i(    m1s10_data_i    ),
2104
                .s10_data_o(    m1s10_data_o    ),
2105
                .s10_addr_o(    m1s10_addr      ),
2106
                .s10_sel_o(     m1s10_sel       ),
2107
                .s10_we_o(      m1s10_we        ),
2108
                .s10_cyc_o(     m1s10_cyc       ),
2109
                .s10_stb_o(     m1s10_stb       ),
2110
                .s10_ack_i(     m1s10_ack       ),
2111
                .s10_err_i(     m1s10_err       ),
2112
                .s10_rty_i(     m1s10_rty       ),
2113
                .s11_data_i(    m1s11_data_i    ),
2114
                .s11_data_o(    m1s11_data_o    ),
2115
                .s11_addr_o(    m1s11_addr      ),
2116
                .s11_sel_o(     m1s11_sel       ),
2117
                .s11_we_o(      m1s11_we        ),
2118
                .s11_cyc_o(     m1s11_cyc       ),
2119
                .s11_stb_o(     m1s11_stb       ),
2120
                .s11_ack_i(     m1s11_ack       ),
2121
                .s11_err_i(     m1s11_err       ),
2122
                .s11_rty_i(     m1s11_rty       ),
2123
                .s12_data_i(    m1s12_data_i    ),
2124
                .s12_data_o(    m1s12_data_o    ),
2125
                .s12_addr_o(    m1s12_addr      ),
2126
                .s12_sel_o(     m1s12_sel       ),
2127
                .s12_we_o(      m1s12_we        ),
2128
                .s12_cyc_o(     m1s12_cyc       ),
2129
                .s12_stb_o(     m1s12_stb       ),
2130
                .s12_ack_i(     m1s12_ack       ),
2131
                .s12_err_i(     m1s12_err       ),
2132
                .s12_rty_i(     m1s12_rty       ),
2133
                .s13_data_i(    m1s13_data_i    ),
2134
                .s13_data_o(    m1s13_data_o    ),
2135
                .s13_addr_o(    m1s13_addr      ),
2136
                .s13_sel_o(     m1s13_sel       ),
2137
                .s13_we_o(      m1s13_we        ),
2138
                .s13_cyc_o(     m1s13_cyc       ),
2139
                .s13_stb_o(     m1s13_stb       ),
2140
                .s13_ack_i(     m1s13_ack       ),
2141
                .s13_err_i(     m1s13_err       ),
2142
                .s13_rty_i(     m1s13_rty       ),
2143
                .s14_data_i(    m1s14_data_i    ),
2144
                .s14_data_o(    m1s14_data_o    ),
2145
                .s14_addr_o(    m1s14_addr      ),
2146
                .s14_sel_o(     m1s14_sel       ),
2147
                .s14_we_o(      m1s14_we        ),
2148
                .s14_cyc_o(     m1s14_cyc       ),
2149
                .s14_stb_o(     m1s14_stb       ),
2150
                .s14_ack_i(     m1s14_ack       ),
2151
                .s14_err_i(     m1s14_err       ),
2152
                .s14_rty_i(     m1s14_rty       ),
2153
                .s15_data_i(    m1s15_data_i    ),
2154
                .s15_data_o(    m1s15_data_o    ),
2155
                .s15_addr_o(    m1s15_addr      ),
2156
                .s15_sel_o(     m1s15_sel       ),
2157
                .s15_we_o(      m1s15_we        ),
2158
                .s15_cyc_o(     m1s15_cyc       ),
2159
                .s15_stb_o(     m1s15_stb       ),
2160
                .s15_ack_i(     m1s15_ack       ),
2161
                .s15_err_i(     m1s15_err       ),
2162
                .s15_rty_i(     m1s15_rty       )
2163
                );
2164
 
2165
wb_conmax_master_if #(aw,dw,sw) m2(
2166
                .clk_i(         clk_i           ),
2167
                .rst_i(         rst_i           ),
2168
                .wb_data_i(     m2_data_i       ),
2169
                .wb_data_o(     m2_data_o       ),
2170
                .wb_addr_i(     m2_addr_i       ),
2171
                .wb_sel_i(      m2_sel_i        ),
2172
                .wb_we_i(       m2_we_i         ),
2173
                .wb_cyc_i(      m2_cyc_i        ),
2174
                .wb_stb_i(      m2_stb_i        ),
2175
                .wb_ack_o(      m2_ack_o        ),
2176
                .wb_err_o(      m2_err_o        ),
2177
                .wb_rty_o(      m2_rty_o        ),
2178
                .s0_data_i(     m2s0_data_i     ),
2179
                .s0_data_o(     m2s0_data_o     ),
2180
                .s0_addr_o(     m2s0_addr       ),
2181
                .s0_sel_o(      m2s0_sel        ),
2182
                .s0_we_o(       m2s0_we         ),
2183
                .s0_cyc_o(      m2s0_cyc        ),
2184
                .s0_stb_o(      m2s0_stb        ),
2185
                .s0_ack_i(      m2s0_ack        ),
2186
                .s0_err_i(      m2s0_err        ),
2187
                .s0_rty_i(      m2s0_rty        ),
2188
                .s1_data_i(     m2s1_data_i     ),
2189
                .s1_data_o(     m2s1_data_o     ),
2190
                .s1_addr_o(     m2s1_addr       ),
2191
                .s1_sel_o(      m2s1_sel        ),
2192
                .s1_we_o(       m2s1_we         ),
2193
                .s1_cyc_o(      m2s1_cyc        ),
2194
                .s1_stb_o(      m2s1_stb        ),
2195
                .s1_ack_i(      m2s1_ack        ),
2196
                .s1_err_i(      m2s1_err        ),
2197
                .s1_rty_i(      m2s1_rty        ),
2198
                .s2_data_i(     m2s2_data_i     ),
2199
                .s2_data_o(     m2s2_data_o     ),
2200
                .s2_addr_o(     m2s2_addr       ),
2201
                .s2_sel_o(      m2s2_sel        ),
2202
                .s2_we_o(       m2s2_we         ),
2203
                .s2_cyc_o(      m2s2_cyc        ),
2204
                .s2_stb_o(      m2s2_stb        ),
2205
                .s2_ack_i(      m2s2_ack        ),
2206
                .s2_err_i(      m2s2_err        ),
2207
                .s2_rty_i(      m2s2_rty        ),
2208
                .s3_data_i(     m2s3_data_i     ),
2209
                .s3_data_o(     m2s3_data_o     ),
2210
                .s3_addr_o(     m2s3_addr       ),
2211
                .s3_sel_o(      m2s3_sel        ),
2212
                .s3_we_o(       m2s3_we         ),
2213
                .s3_cyc_o(      m2s3_cyc        ),
2214
                .s3_stb_o(      m2s3_stb        ),
2215
                .s3_ack_i(      m2s3_ack        ),
2216
                .s3_err_i(      m2s3_err        ),
2217
                .s3_rty_i(      m2s3_rty        ),
2218
                .s4_data_i(     m2s4_data_i     ),
2219
                .s4_data_o(     m2s4_data_o     ),
2220
                .s4_addr_o(     m2s4_addr       ),
2221
                .s4_sel_o(      m2s4_sel        ),
2222
                .s4_we_o(       m2s4_we         ),
2223
                .s4_cyc_o(      m2s4_cyc        ),
2224
                .s4_stb_o(      m2s4_stb        ),
2225
                .s4_ack_i(      m2s4_ack        ),
2226
                .s4_err_i(      m2s4_err        ),
2227
                .s4_rty_i(      m2s4_rty        ),
2228
                .s5_data_i(     m2s5_data_i     ),
2229
                .s5_data_o(     m2s5_data_o     ),
2230
                .s5_addr_o(     m2s5_addr       ),
2231
                .s5_sel_o(      m2s5_sel        ),
2232
                .s5_we_o(       m2s5_we         ),
2233
                .s5_cyc_o(      m2s5_cyc        ),
2234
                .s5_stb_o(      m2s5_stb        ),
2235
                .s5_ack_i(      m2s5_ack        ),
2236
                .s5_err_i(      m2s5_err        ),
2237
                .s5_rty_i(      m2s5_rty        ),
2238
                .s6_data_i(     m2s6_data_i     ),
2239
                .s6_data_o(     m2s6_data_o     ),
2240
                .s6_addr_o(     m2s6_addr       ),
2241
                .s6_sel_o(      m2s6_sel        ),
2242
                .s6_we_o(       m2s6_we         ),
2243
                .s6_cyc_o(      m2s6_cyc        ),
2244
                .s6_stb_o(      m2s6_stb        ),
2245
                .s6_ack_i(      m2s6_ack        ),
2246
                .s6_err_i(      m2s6_err        ),
2247
                .s6_rty_i(      m2s6_rty        ),
2248
                .s7_data_i(     m2s7_data_i     ),
2249
                .s7_data_o(     m2s7_data_o     ),
2250
                .s7_addr_o(     m2s7_addr       ),
2251
                .s7_sel_o(      m2s7_sel        ),
2252
                .s7_we_o(       m2s7_we         ),
2253
                .s7_cyc_o(      m2s7_cyc        ),
2254
                .s7_stb_o(      m2s7_stb        ),
2255
                .s7_ack_i(      m2s7_ack        ),
2256
                .s7_err_i(      m2s7_err        ),
2257
                .s7_rty_i(      m2s7_rty        ),
2258
                .s8_data_i(     m2s8_data_i     ),
2259
                .s8_data_o(     m2s8_data_o     ),
2260
                .s8_addr_o(     m2s8_addr       ),
2261
                .s8_sel_o(      m2s8_sel        ),
2262
                .s8_we_o(       m2s8_we         ),
2263
                .s8_cyc_o(      m2s8_cyc        ),
2264
                .s8_stb_o(      m2s8_stb        ),
2265
                .s8_ack_i(      m2s8_ack        ),
2266
                .s8_err_i(      m2s8_err        ),
2267
                .s8_rty_i(      m2s8_rty        ),
2268
                .s9_data_i(     m2s9_data_i     ),
2269
                .s9_data_o(     m2s9_data_o     ),
2270
                .s9_addr_o(     m2s9_addr       ),
2271
                .s9_sel_o(      m2s9_sel        ),
2272
                .s9_we_o(       m2s9_we         ),
2273
                .s9_cyc_o(      m2s9_cyc        ),
2274
                .s9_stb_o(      m2s9_stb        ),
2275
                .s9_ack_i(      m2s9_ack        ),
2276
                .s9_err_i(      m2s9_err        ),
2277
                .s9_rty_i(      m2s9_rty        ),
2278
                .s10_data_i(    m2s10_data_i    ),
2279
                .s10_data_o(    m2s10_data_o    ),
2280
                .s10_addr_o(    m2s10_addr      ),
2281
                .s10_sel_o(     m2s10_sel       ),
2282
                .s10_we_o(      m2s10_we        ),
2283
                .s10_cyc_o(     m2s10_cyc       ),
2284
                .s10_stb_o(     m2s10_stb       ),
2285
                .s10_ack_i(     m2s10_ack       ),
2286
                .s10_err_i(     m2s10_err       ),
2287
                .s10_rty_i(     m2s10_rty       ),
2288
                .s11_data_i(    m2s11_data_i    ),
2289
                .s11_data_o(    m2s11_data_o    ),
2290
                .s11_addr_o(    m2s11_addr      ),
2291
                .s11_sel_o(     m2s11_sel       ),
2292
                .s11_we_o(      m2s11_we        ),
2293
                .s11_cyc_o(     m2s11_cyc       ),
2294
                .s11_stb_o(     m2s11_stb       ),
2295
                .s11_ack_i(     m2s11_ack       ),
2296
                .s11_err_i(     m2s11_err       ),
2297
                .s11_rty_i(     m2s11_rty       ),
2298
                .s12_data_i(    m2s12_data_i    ),
2299
                .s12_data_o(    m2s12_data_o    ),
2300
                .s12_addr_o(    m2s12_addr      ),
2301
                .s12_sel_o(     m2s12_sel       ),
2302
                .s12_we_o(      m2s12_we        ),
2303
                .s12_cyc_o(     m2s12_cyc       ),
2304
                .s12_stb_o(     m2s12_stb       ),
2305
                .s12_ack_i(     m2s12_ack       ),
2306
                .s12_err_i(     m2s12_err       ),
2307
                .s12_rty_i(     m2s12_rty       ),
2308
                .s13_data_i(    m2s13_data_i    ),
2309
                .s13_data_o(    m2s13_data_o    ),
2310
                .s13_addr_o(    m2s13_addr      ),
2311
                .s13_sel_o(     m2s13_sel       ),
2312
                .s13_we_o(      m2s13_we        ),
2313
                .s13_cyc_o(     m2s13_cyc       ),
2314
                .s13_stb_o(     m2s13_stb       ),
2315
                .s13_ack_i(     m2s13_ack       ),
2316
                .s13_err_i(     m2s13_err       ),
2317
                .s13_rty_i(     m2s13_rty       ),
2318
                .s14_data_i(    m2s14_data_i    ),
2319
                .s14_data_o(    m2s14_data_o    ),
2320
                .s14_addr_o(    m2s14_addr      ),
2321
                .s14_sel_o(     m2s14_sel       ),
2322
                .s14_we_o(      m2s14_we        ),
2323
                .s14_cyc_o(     m2s14_cyc       ),
2324
                .s14_stb_o(     m2s14_stb       ),
2325
                .s14_ack_i(     m2s14_ack       ),
2326
                .s14_err_i(     m2s14_err       ),
2327
                .s14_rty_i(     m2s14_rty       ),
2328
                .s15_data_i(    m2s15_data_i    ),
2329
                .s15_data_o(    m2s15_data_o    ),
2330
                .s15_addr_o(    m2s15_addr      ),
2331
                .s15_sel_o(     m2s15_sel       ),
2332
                .s15_we_o(      m2s15_we        ),
2333
                .s15_cyc_o(     m2s15_cyc       ),
2334
                .s15_stb_o(     m2s15_stb       ),
2335
                .s15_ack_i(     m2s15_ack       ),
2336
                .s15_err_i(     m2s15_err       ),
2337
                .s15_rty_i(     m2s15_rty       )
2338
                );
2339
 
2340
wb_conmax_master_if #(aw,dw,sw) m3(
2341
                .clk_i(         clk_i           ),
2342
                .rst_i(         rst_i           ),
2343
                .wb_data_i(     m3_data_i       ),
2344
                .wb_data_o(     m3_data_o       ),
2345
                .wb_addr_i(     m3_addr_i       ),
2346
                .wb_sel_i(      m3_sel_i        ),
2347
                .wb_we_i(       m3_we_i         ),
2348
                .wb_cyc_i(      m3_cyc_i        ),
2349
                .wb_stb_i(      m3_stb_i        ),
2350
                .wb_ack_o(      m3_ack_o        ),
2351
                .wb_err_o(      m3_err_o        ),
2352
                .wb_rty_o(      m3_rty_o        ),
2353
                .s0_data_i(     m3s0_data_i     ),
2354
                .s0_data_o(     m3s0_data_o     ),
2355
                .s0_addr_o(     m3s0_addr       ),
2356
                .s0_sel_o(      m3s0_sel        ),
2357
                .s0_we_o(       m3s0_we         ),
2358
                .s0_cyc_o(      m3s0_cyc        ),
2359
                .s0_stb_o(      m3s0_stb        ),
2360
                .s0_ack_i(      m3s0_ack        ),
2361
                .s0_err_i(      m3s0_err        ),
2362
                .s0_rty_i(      m3s0_rty        ),
2363
                .s1_data_i(     m3s1_data_i     ),
2364
                .s1_data_o(     m3s1_data_o     ),
2365
                .s1_addr_o(     m3s1_addr       ),
2366
                .s1_sel_o(      m3s1_sel        ),
2367
                .s1_we_o(       m3s1_we         ),
2368
                .s1_cyc_o(      m3s1_cyc        ),
2369
                .s1_stb_o(      m3s1_stb        ),
2370
                .s1_ack_i(      m3s1_ack        ),
2371
                .s1_err_i(      m3s1_err        ),
2372
                .s1_rty_i(      m3s1_rty        ),
2373
                .s2_data_i(     m3s2_data_i     ),
2374
                .s2_data_o(     m3s2_data_o     ),
2375
                .s2_addr_o(     m3s2_addr       ),
2376
                .s2_sel_o(      m3s2_sel        ),
2377
                .s2_we_o(       m3s2_we         ),
2378
                .s2_cyc_o(      m3s2_cyc        ),
2379
                .s2_stb_o(      m3s2_stb        ),
2380
                .s2_ack_i(      m3s2_ack        ),
2381
                .s2_err_i(      m3s2_err        ),
2382
                .s2_rty_i(      m3s2_rty        ),
2383
                .s3_data_i(     m3s3_data_i     ),
2384
                .s3_data_o(     m3s3_data_o     ),
2385
                .s3_addr_o(     m3s3_addr       ),
2386
                .s3_sel_o(      m3s3_sel        ),
2387
                .s3_we_o(       m3s3_we         ),
2388
                .s3_cyc_o(      m3s3_cyc        ),
2389
                .s3_stb_o(      m3s3_stb        ),
2390
                .s3_ack_i(      m3s3_ack        ),
2391
                .s3_err_i(      m3s3_err        ),
2392
                .s3_rty_i(      m3s3_rty        ),
2393
                .s4_data_i(     m3s4_data_i     ),
2394
                .s4_data_o(     m3s4_data_o     ),
2395
                .s4_addr_o(     m3s4_addr       ),
2396
                .s4_sel_o(      m3s4_sel        ),
2397
                .s4_we_o(       m3s4_we         ),
2398
                .s4_cyc_o(      m3s4_cyc        ),
2399
                .s4_stb_o(      m3s4_stb        ),
2400
                .s4_ack_i(      m3s4_ack        ),
2401
                .s4_err_i(      m3s4_err        ),
2402
                .s4_rty_i(      m3s4_rty        ),
2403
                .s5_data_i(     m3s5_data_i     ),
2404
                .s5_data_o(     m3s5_data_o     ),
2405
                .s5_addr_o(     m3s5_addr       ),
2406
                .s5_sel_o(      m3s5_sel        ),
2407
                .s5_we_o(       m3s5_we         ),
2408
                .s5_cyc_o(      m3s5_cyc        ),
2409
                .s5_stb_o(      m3s5_stb        ),
2410
                .s5_ack_i(      m3s5_ack        ),
2411
                .s5_err_i(      m3s5_err        ),
2412
                .s5_rty_i(      m3s5_rty        ),
2413
                .s6_data_i(     m3s6_data_i     ),
2414
                .s6_data_o(     m3s6_data_o     ),
2415
                .s6_addr_o(     m3s6_addr       ),
2416
                .s6_sel_o(      m3s6_sel        ),
2417
                .s6_we_o(       m3s6_we         ),
2418
                .s6_cyc_o(      m3s6_cyc        ),
2419
                .s6_stb_o(      m3s6_stb        ),
2420
                .s6_ack_i(      m3s6_ack        ),
2421
                .s6_err_i(      m3s6_err        ),
2422
                .s6_rty_i(      m3s6_rty        ),
2423
                .s7_data_i(     m3s7_data_i     ),
2424
                .s7_data_o(     m3s7_data_o     ),
2425
                .s7_addr_o(     m3s7_addr       ),
2426
                .s7_sel_o(      m3s7_sel        ),
2427
                .s7_we_o(       m3s7_we         ),
2428
                .s7_cyc_o(      m3s7_cyc        ),
2429
                .s7_stb_o(      m3s7_stb        ),
2430
                .s7_ack_i(      m3s7_ack        ),
2431
                .s7_err_i(      m3s7_err        ),
2432
                .s7_rty_i(      m3s7_rty        ),
2433
                .s8_data_i(     m3s8_data_i     ),
2434
                .s8_data_o(     m3s8_data_o     ),
2435
                .s8_addr_o(     m3s8_addr       ),
2436
                .s8_sel_o(      m3s8_sel        ),
2437
                .s8_we_o(       m3s8_we         ),
2438
                .s8_cyc_o(      m3s8_cyc        ),
2439
                .s8_stb_o(      m3s8_stb        ),
2440
                .s8_ack_i(      m3s8_ack        ),
2441
                .s8_err_i(      m3s8_err        ),
2442
                .s8_rty_i(      m3s8_rty        ),
2443
                .s9_data_i(     m3s9_data_i     ),
2444
                .s9_data_o(     m3s9_data_o     ),
2445
                .s9_addr_o(     m3s9_addr       ),
2446
                .s9_sel_o(      m3s9_sel        ),
2447
                .s9_we_o(       m3s9_we         ),
2448
                .s9_cyc_o(      m3s9_cyc        ),
2449
                .s9_stb_o(      m3s9_stb        ),
2450
                .s9_ack_i(      m3s9_ack        ),
2451
                .s9_err_i(      m3s9_err        ),
2452
                .s9_rty_i(      m3s9_rty        ),
2453
                .s10_data_i(    m3s10_data_i    ),
2454
                .s10_data_o(    m3s10_data_o    ),
2455
                .s10_addr_o(    m3s10_addr      ),
2456
                .s10_sel_o(     m3s10_sel       ),
2457
                .s10_we_o(      m3s10_we        ),
2458
                .s10_cyc_o(     m3s10_cyc       ),
2459
                .s10_stb_o(     m3s10_stb       ),
2460
                .s10_ack_i(     m3s10_ack       ),
2461
                .s10_err_i(     m3s10_err       ),
2462
                .s10_rty_i(     m3s10_rty       ),
2463
                .s11_data_i(    m3s11_data_i    ),
2464
                .s11_data_o(    m3s11_data_o    ),
2465
                .s11_addr_o(    m3s11_addr      ),
2466
                .s11_sel_o(     m3s11_sel       ),
2467
                .s11_we_o(      m3s11_we        ),
2468
                .s11_cyc_o(     m3s11_cyc       ),
2469
                .s11_stb_o(     m3s11_stb       ),
2470
                .s11_ack_i(     m3s11_ack       ),
2471
                .s11_err_i(     m3s11_err       ),
2472
                .s11_rty_i(     m3s11_rty       ),
2473
                .s12_data_i(    m3s12_data_i    ),
2474
                .s12_data_o(    m3s12_data_o    ),
2475
                .s12_addr_o(    m3s12_addr      ),
2476
                .s12_sel_o(     m3s12_sel       ),
2477
                .s12_we_o(      m3s12_we        ),
2478
                .s12_cyc_o(     m3s12_cyc       ),
2479
                .s12_stb_o(     m3s12_stb       ),
2480
                .s12_ack_i(     m3s12_ack       ),
2481
                .s12_err_i(     m3s12_err       ),
2482
                .s12_rty_i(     m3s12_rty       ),
2483
                .s13_data_i(    m3s13_data_i    ),
2484
                .s13_data_o(    m3s13_data_o    ),
2485
                .s13_addr_o(    m3s13_addr      ),
2486
                .s13_sel_o(     m3s13_sel       ),
2487
                .s13_we_o(      m3s13_we        ),
2488
                .s13_cyc_o(     m3s13_cyc       ),
2489
                .s13_stb_o(     m3s13_stb       ),
2490
                .s13_ack_i(     m3s13_ack       ),
2491
                .s13_err_i(     m3s13_err       ),
2492
                .s13_rty_i(     m3s13_rty       ),
2493
                .s14_data_i(    m3s14_data_i    ),
2494
                .s14_data_o(    m3s14_data_o    ),
2495
                .s14_addr_o(    m3s14_addr      ),
2496
                .s14_sel_o(     m3s14_sel       ),
2497
                .s14_we_o(      m3s14_we        ),
2498
                .s14_cyc_o(     m3s14_cyc       ),
2499
                .s14_stb_o(     m3s14_stb       ),
2500
                .s14_ack_i(     m3s14_ack       ),
2501
                .s14_err_i(     m3s14_err       ),
2502
                .s14_rty_i(     m3s14_rty       ),
2503
                .s15_data_i(    m3s15_data_i    ),
2504
                .s15_data_o(    m3s15_data_o    ),
2505
                .s15_addr_o(    m3s15_addr      ),
2506
                .s15_sel_o(     m3s15_sel       ),
2507
                .s15_we_o(      m3s15_we        ),
2508
                .s15_cyc_o(     m3s15_cyc       ),
2509
                .s15_stb_o(     m3s15_stb       ),
2510
                .s15_ack_i(     m3s15_ack       ),
2511
                .s15_err_i(     m3s15_err       ),
2512
                .s15_rty_i(     m3s15_rty       )
2513
                );
2514
 
2515
wb_conmax_master_if #(aw,dw,sw) m4(
2516
                .clk_i(         clk_i           ),
2517
                .rst_i(         rst_i           ),
2518
                .wb_data_i(     m4_data_i       ),
2519
                .wb_data_o(     m4_data_o       ),
2520
                .wb_addr_i(     m4_addr_i       ),
2521
                .wb_sel_i(      m4_sel_i        ),
2522
                .wb_we_i(       m4_we_i         ),
2523
                .wb_cyc_i(      m4_cyc_i        ),
2524
                .wb_stb_i(      m4_stb_i        ),
2525
                .wb_ack_o(      m4_ack_o        ),
2526
                .wb_err_o(      m4_err_o        ),
2527
                .wb_rty_o(      m4_rty_o        ),
2528
                .s0_data_i(     m4s0_data_i     ),
2529
                .s0_data_o(     m4s0_data_o     ),
2530
                .s0_addr_o(     m4s0_addr       ),
2531
                .s0_sel_o(      m4s0_sel        ),
2532
                .s0_we_o(       m4s0_we         ),
2533
                .s0_cyc_o(      m4s0_cyc        ),
2534
                .s0_stb_o(      m4s0_stb        ),
2535
                .s0_ack_i(      m4s0_ack        ),
2536
                .s0_err_i(      m4s0_err        ),
2537
                .s0_rty_i(      m4s0_rty        ),
2538
                .s1_data_i(     m4s1_data_i     ),
2539
                .s1_data_o(     m4s1_data_o     ),
2540
                .s1_addr_o(     m4s1_addr       ),
2541
                .s1_sel_o(      m4s1_sel        ),
2542
                .s1_we_o(       m4s1_we         ),
2543
                .s1_cyc_o(      m4s1_cyc        ),
2544
                .s1_stb_o(      m4s1_stb        ),
2545
                .s1_ack_i(      m4s1_ack        ),
2546
                .s1_err_i(      m4s1_err        ),
2547
                .s1_rty_i(      m4s1_rty        ),
2548
                .s2_data_i(     m4s2_data_i     ),
2549
                .s2_data_o(     m4s2_data_o     ),
2550
                .s2_addr_o(     m4s2_addr       ),
2551
                .s2_sel_o(      m4s2_sel        ),
2552
                .s2_we_o(       m4s2_we         ),
2553
                .s2_cyc_o(      m4s2_cyc        ),
2554
                .s2_stb_o(      m4s2_stb        ),
2555
                .s2_ack_i(      m4s2_ack        ),
2556
                .s2_err_i(      m4s2_err        ),
2557
                .s2_rty_i(      m4s2_rty        ),
2558
                .s3_data_i(     m4s3_data_i     ),
2559
                .s3_data_o(     m4s3_data_o     ),
2560
                .s3_addr_o(     m4s3_addr       ),
2561
                .s3_sel_o(      m4s3_sel        ),
2562
                .s3_we_o(       m4s3_we         ),
2563
                .s3_cyc_o(      m4s3_cyc        ),
2564
                .s3_stb_o(      m4s3_stb        ),
2565
                .s3_ack_i(      m4s3_ack        ),
2566
                .s3_err_i(      m4s3_err        ),
2567
                .s3_rty_i(      m4s3_rty        ),
2568
                .s4_data_i(     m4s4_data_i     ),
2569
                .s4_data_o(     m4s4_data_o     ),
2570
                .s4_addr_o(     m4s4_addr       ),
2571
                .s4_sel_o(      m4s4_sel        ),
2572
                .s4_we_o(       m4s4_we         ),
2573
                .s4_cyc_o(      m4s4_cyc        ),
2574
                .s4_stb_o(      m4s4_stb        ),
2575
                .s4_ack_i(      m4s4_ack        ),
2576
                .s4_err_i(      m4s4_err        ),
2577
                .s4_rty_i(      m4s4_rty        ),
2578
                .s5_data_i(     m4s5_data_i     ),
2579
                .s5_data_o(     m4s5_data_o     ),
2580
                .s5_addr_o(     m4s5_addr       ),
2581
                .s5_sel_o(      m4s5_sel        ),
2582
                .s5_we_o(       m4s5_we         ),
2583
                .s5_cyc_o(      m4s5_cyc        ),
2584
                .s5_stb_o(      m4s5_stb        ),
2585
                .s5_ack_i(      m4s5_ack        ),
2586
                .s5_err_i(      m4s5_err        ),
2587
                .s5_rty_i(      m4s5_rty        ),
2588
                .s6_data_i(     m4s6_data_i     ),
2589
                .s6_data_o(     m4s6_data_o     ),
2590
                .s6_addr_o(     m4s6_addr       ),
2591
                .s6_sel_o(      m4s6_sel        ),
2592
                .s6_we_o(       m4s6_we         ),
2593
                .s6_cyc_o(      m4s6_cyc        ),
2594
                .s6_stb_o(      m4s6_stb        ),
2595
                .s6_ack_i(      m4s6_ack        ),
2596
                .s6_err_i(      m4s6_err        ),
2597
                .s6_rty_i(      m4s6_rty        ),
2598
                .s7_data_i(     m4s7_data_i     ),
2599
                .s7_data_o(     m4s7_data_o     ),
2600
                .s7_addr_o(     m4s7_addr       ),
2601
                .s7_sel_o(      m4s7_sel        ),
2602
                .s7_we_o(       m4s7_we         ),
2603
                .s7_cyc_o(      m4s7_cyc        ),
2604
                .s7_stb_o(      m4s7_stb        ),
2605
                .s7_ack_i(      m4s7_ack        ),
2606
                .s7_err_i(      m4s7_err        ),
2607
                .s7_rty_i(      m4s7_rty        ),
2608
                .s8_data_i(     m4s8_data_i     ),
2609
                .s8_data_o(     m4s8_data_o     ),
2610
                .s8_addr_o(     m4s8_addr       ),
2611
                .s8_sel_o(      m4s8_sel        ),
2612
                .s8_we_o(       m4s8_we         ),
2613
                .s8_cyc_o(      m4s8_cyc        ),
2614
                .s8_stb_o(      m4s8_stb        ),
2615
                .s8_ack_i(      m4s8_ack        ),
2616
                .s8_err_i(      m4s8_err        ),
2617
                .s8_rty_i(      m4s8_rty        ),
2618
                .s9_data_i(     m4s9_data_i     ),
2619
                .s9_data_o(     m4s9_data_o     ),
2620
                .s9_addr_o(     m4s9_addr       ),
2621
                .s9_sel_o(      m4s9_sel        ),
2622
                .s9_we_o(       m4s9_we         ),
2623
                .s9_cyc_o(      m4s9_cyc        ),
2624
                .s9_stb_o(      m4s9_stb        ),
2625
                .s9_ack_i(      m4s9_ack        ),
2626
                .s9_err_i(      m4s9_err        ),
2627
                .s9_rty_i(      m4s9_rty        ),
2628
                .s10_data_i(    m4s10_data_i    ),
2629
                .s10_data_o(    m4s10_data_o    ),
2630
                .s10_addr_o(    m4s10_addr      ),
2631
                .s10_sel_o(     m4s10_sel       ),
2632
                .s10_we_o(      m4s10_we        ),
2633
                .s10_cyc_o(     m4s10_cyc       ),
2634
                .s10_stb_o(     m4s10_stb       ),
2635
                .s10_ack_i(     m4s10_ack       ),
2636
                .s10_err_i(     m4s10_err       ),
2637
                .s10_rty_i(     m4s10_rty       ),
2638
                .s11_data_i(    m4s11_data_i    ),
2639
                .s11_data_o(    m4s11_data_o    ),
2640
                .s11_addr_o(    m4s11_addr      ),
2641
                .s11_sel_o(     m4s11_sel       ),
2642
                .s11_we_o(      m4s11_we        ),
2643
                .s11_cyc_o(     m4s11_cyc       ),
2644
                .s11_stb_o(     m4s11_stb       ),
2645
                .s11_ack_i(     m4s11_ack       ),
2646
                .s11_err_i(     m4s11_err       ),
2647
                .s11_rty_i(     m4s11_rty       ),
2648
                .s12_data_i(    m4s12_data_i    ),
2649
                .s12_data_o(    m4s12_data_o    ),
2650
                .s12_addr_o(    m4s12_addr      ),
2651
                .s12_sel_o(     m4s12_sel       ),
2652
                .s12_we_o(      m4s12_we        ),
2653
                .s12_cyc_o(     m4s12_cyc       ),
2654
                .s12_stb_o(     m4s12_stb       ),
2655
                .s12_ack_i(     m4s12_ack       ),
2656
                .s12_err_i(     m4s12_err       ),
2657
                .s12_rty_i(     m4s12_rty       ),
2658
                .s13_data_i(    m4s13_data_i    ),
2659
                .s13_data_o(    m4s13_data_o    ),
2660
                .s13_addr_o(    m4s13_addr      ),
2661
                .s13_sel_o(     m4s13_sel       ),
2662
                .s13_we_o(      m4s13_we        ),
2663
                .s13_cyc_o(     m4s13_cyc       ),
2664
                .s13_stb_o(     m4s13_stb       ),
2665
                .s13_ack_i(     m4s13_ack       ),
2666
                .s13_err_i(     m4s13_err       ),
2667
                .s13_rty_i(     m4s13_rty       ),
2668
                .s14_data_i(    m4s14_data_i    ),
2669
                .s14_data_o(    m4s14_data_o    ),
2670
                .s14_addr_o(    m4s14_addr      ),
2671
                .s14_sel_o(     m4s14_sel       ),
2672
                .s14_we_o(      m4s14_we        ),
2673
                .s14_cyc_o(     m4s14_cyc       ),
2674
                .s14_stb_o(     m4s14_stb       ),
2675
                .s14_ack_i(     m4s14_ack       ),
2676
                .s14_err_i(     m4s14_err       ),
2677
                .s14_rty_i(     m4s14_rty       ),
2678
                .s15_data_i(    m4s15_data_i    ),
2679
                .s15_data_o(    m4s15_data_o    ),
2680
                .s15_addr_o(    m4s15_addr      ),
2681
                .s15_sel_o(     m4s15_sel       ),
2682
                .s15_we_o(      m4s15_we        ),
2683
                .s15_cyc_o(     m4s15_cyc       ),
2684
                .s15_stb_o(     m4s15_stb       ),
2685
                .s15_ack_i(     m4s15_ack       ),
2686
                .s15_err_i(     m4s15_err       ),
2687
                .s15_rty_i(     m4s15_rty       )
2688
                );
2689
 
2690
wb_conmax_master_if #(aw,dw,sw) m5(
2691
                .clk_i(         clk_i           ),
2692
                .rst_i(         rst_i           ),
2693
                .wb_data_i(     m5_data_i       ),
2694
                .wb_data_o(     m5_data_o       ),
2695
                .wb_addr_i(     m5_addr_i       ),
2696
                .wb_sel_i(      m5_sel_i        ),
2697
                .wb_we_i(       m5_we_i         ),
2698
                .wb_cyc_i(      m5_cyc_i        ),
2699
                .wb_stb_i(      m5_stb_i        ),
2700
                .wb_ack_o(      m5_ack_o        ),
2701
                .wb_err_o(      m5_err_o        ),
2702
                .wb_rty_o(      m5_rty_o        ),
2703
                .s0_data_i(     m5s0_data_i     ),
2704
                .s0_data_o(     m5s0_data_o     ),
2705
                .s0_addr_o(     m5s0_addr       ),
2706
                .s0_sel_o(      m5s0_sel        ),
2707
                .s0_we_o(       m5s0_we         ),
2708
                .s0_cyc_o(      m5s0_cyc        ),
2709
                .s0_stb_o(      m5s0_stb        ),
2710
                .s0_ack_i(      m5s0_ack        ),
2711
                .s0_err_i(      m5s0_err        ),
2712
                .s0_rty_i(      m5s0_rty        ),
2713
                .s1_data_i(     m5s1_data_i     ),
2714
                .s1_data_o(     m5s1_data_o     ),
2715
                .s1_addr_o(     m5s1_addr       ),
2716
                .s1_sel_o(      m5s1_sel        ),
2717
                .s1_we_o(       m5s1_we         ),
2718
                .s1_cyc_o(      m5s1_cyc        ),
2719
                .s1_stb_o(      m5s1_stb        ),
2720
                .s1_ack_i(      m5s1_ack        ),
2721
                .s1_err_i(      m5s1_err        ),
2722
                .s1_rty_i(      m5s1_rty        ),
2723
                .s2_data_i(     m5s2_data_i     ),
2724
                .s2_data_o(     m5s2_data_o     ),
2725
                .s2_addr_o(     m5s2_addr       ),
2726
                .s2_sel_o(      m5s2_sel        ),
2727
                .s2_we_o(       m5s2_we         ),
2728
                .s2_cyc_o(      m5s2_cyc        ),
2729
                .s2_stb_o(      m5s2_stb        ),
2730
                .s2_ack_i(      m5s2_ack        ),
2731
                .s2_err_i(      m5s2_err        ),
2732
                .s2_rty_i(      m5s2_rty        ),
2733
                .s3_data_i(     m5s3_data_i     ),
2734
                .s3_data_o(     m5s3_data_o     ),
2735
                .s3_addr_o(     m5s3_addr       ),
2736
                .s3_sel_o(      m5s3_sel        ),
2737
                .s3_we_o(       m5s3_we         ),
2738
                .s3_cyc_o(      m5s3_cyc        ),
2739
                .s3_stb_o(      m5s3_stb        ),
2740
                .s3_ack_i(      m5s3_ack        ),
2741
                .s3_err_i(      m5s3_err        ),
2742
                .s3_rty_i(      m5s3_rty        ),
2743
                .s4_data_i(     m5s4_data_i     ),
2744
                .s4_data_o(     m5s4_data_o     ),
2745
                .s4_addr_o(     m5s4_addr       ),
2746
                .s4_sel_o(      m5s4_sel        ),
2747
                .s4_we_o(       m5s4_we         ),
2748
                .s4_cyc_o(      m5s4_cyc        ),
2749
                .s4_stb_o(      m5s4_stb        ),
2750
                .s4_ack_i(      m5s4_ack        ),
2751
                .s4_err_i(      m5s4_err        ),
2752
                .s4_rty_i(      m5s4_rty        ),
2753
                .s5_data_i(     m5s5_data_i     ),
2754
                .s5_data_o(     m5s5_data_o     ),
2755
                .s5_addr_o(     m5s5_addr       ),
2756
                .s5_sel_o(      m5s5_sel        ),
2757
                .s5_we_o(       m5s5_we         ),
2758
                .s5_cyc_o(      m5s5_cyc        ),
2759
                .s5_stb_o(      m5s5_stb        ),
2760
                .s5_ack_i(      m5s5_ack        ),
2761
                .s5_err_i(      m5s5_err        ),
2762
                .s5_rty_i(      m5s5_rty        ),
2763
                .s6_data_i(     m5s6_data_i     ),
2764
                .s6_data_o(     m5s6_data_o     ),
2765
                .s6_addr_o(     m5s6_addr       ),
2766
                .s6_sel_o(      m5s6_sel        ),
2767
                .s6_we_o(       m5s6_we         ),
2768
                .s6_cyc_o(      m5s6_cyc        ),
2769
                .s6_stb_o(      m5s6_stb        ),
2770
                .s6_ack_i(      m5s6_ack        ),
2771
                .s6_err_i(      m5s6_err        ),
2772
                .s6_rty_i(      m5s6_rty        ),
2773
                .s7_data_i(     m5s7_data_i     ),
2774
                .s7_data_o(     m5s7_data_o     ),
2775
                .s7_addr_o(     m5s7_addr       ),
2776
                .s7_sel_o(      m5s7_sel        ),
2777
                .s7_we_o(       m5s7_we         ),
2778
                .s7_cyc_o(      m5s7_cyc        ),
2779
                .s7_stb_o(      m5s7_stb        ),
2780
                .s7_ack_i(      m5s7_ack        ),
2781
                .s7_err_i(      m5s7_err        ),
2782
                .s7_rty_i(      m5s7_rty        ),
2783
                .s8_data_i(     m5s8_data_i     ),
2784
                .s8_data_o(     m5s8_data_o     ),
2785
                .s8_addr_o(     m5s8_addr       ),
2786
                .s8_sel_o(      m5s8_sel        ),
2787
                .s8_we_o(       m5s8_we         ),
2788
                .s8_cyc_o(      m5s8_cyc        ),
2789
                .s8_stb_o(      m5s8_stb        ),
2790
                .s8_ack_i(      m5s8_ack        ),
2791
                .s8_err_i(      m5s8_err        ),
2792
                .s8_rty_i(      m5s8_rty        ),
2793
                .s9_data_i(     m5s9_data_i     ),
2794
                .s9_data_o(     m5s9_data_o     ),
2795
                .s9_addr_o(     m5s9_addr       ),
2796
                .s9_sel_o(      m5s9_sel        ),
2797
                .s9_we_o(       m5s9_we         ),
2798
                .s9_cyc_o(      m5s9_cyc        ),
2799
                .s9_stb_o(      m5s9_stb        ),
2800
                .s9_ack_i(      m5s9_ack        ),
2801
                .s9_err_i(      m5s9_err        ),
2802
                .s9_rty_i(      m5s9_rty        ),
2803
                .s10_data_i(    m5s10_data_i    ),
2804
                .s10_data_o(    m5s10_data_o    ),
2805
                .s10_addr_o(    m5s10_addr      ),
2806
                .s10_sel_o(     m5s10_sel       ),
2807
                .s10_we_o(      m5s10_we        ),
2808
                .s10_cyc_o(     m5s10_cyc       ),
2809
                .s10_stb_o(     m5s10_stb       ),
2810
                .s10_ack_i(     m5s10_ack       ),
2811
                .s10_err_i(     m5s10_err       ),
2812
                .s10_rty_i(     m5s10_rty       ),
2813
                .s11_data_i(    m5s11_data_i    ),
2814
                .s11_data_o(    m5s11_data_o    ),
2815
                .s11_addr_o(    m5s11_addr      ),
2816
                .s11_sel_o(     m5s11_sel       ),
2817
                .s11_we_o(      m5s11_we        ),
2818
                .s11_cyc_o(     m5s11_cyc       ),
2819
                .s11_stb_o(     m5s11_stb       ),
2820
                .s11_ack_i(     m5s11_ack       ),
2821
                .s11_err_i(     m5s11_err       ),
2822
                .s11_rty_i(     m5s11_rty       ),
2823
                .s12_data_i(    m5s12_data_i    ),
2824
                .s12_data_o(    m5s12_data_o    ),
2825
                .s12_addr_o(    m5s12_addr      ),
2826
                .s12_sel_o(     m5s12_sel       ),
2827
                .s12_we_o(      m5s12_we        ),
2828
                .s12_cyc_o(     m5s12_cyc       ),
2829
                .s12_stb_o(     m5s12_stb       ),
2830
                .s12_ack_i(     m5s12_ack       ),
2831
                .s12_err_i(     m5s12_err       ),
2832
                .s12_rty_i(     m5s12_rty       ),
2833
                .s13_data_i(    m5s13_data_i    ),
2834
                .s13_data_o(    m5s13_data_o    ),
2835
                .s13_addr_o(    m5s13_addr      ),
2836
                .s13_sel_o(     m5s13_sel       ),
2837
                .s13_we_o(      m5s13_we        ),
2838
                .s13_cyc_o(     m5s13_cyc       ),
2839
                .s13_stb_o(     m5s13_stb       ),
2840
                .s13_ack_i(     m5s13_ack       ),
2841
                .s13_err_i(     m5s13_err       ),
2842
                .s13_rty_i(     m5s13_rty       ),
2843
                .s14_data_i(    m5s14_data_i    ),
2844
                .s14_data_o(    m5s14_data_o    ),
2845
                .s14_addr_o(    m5s14_addr      ),
2846
                .s14_sel_o(     m5s14_sel       ),
2847
                .s14_we_o(      m5s14_we        ),
2848
                .s14_cyc_o(     m5s14_cyc       ),
2849
                .s14_stb_o(     m5s14_stb       ),
2850
                .s14_ack_i(     m5s14_ack       ),
2851
                .s14_err_i(     m5s14_err       ),
2852
                .s14_rty_i(     m5s14_rty       ),
2853
                .s15_data_i(    m5s15_data_i    ),
2854
                .s15_data_o(    m5s15_data_o    ),
2855
                .s15_addr_o(    m5s15_addr      ),
2856
                .s15_sel_o(     m5s15_sel       ),
2857
                .s15_we_o(      m5s15_we        ),
2858
                .s15_cyc_o(     m5s15_cyc       ),
2859
                .s15_stb_o(     m5s15_stb       ),
2860
                .s15_ack_i(     m5s15_ack       ),
2861
                .s15_err_i(     m5s15_err       ),
2862
                .s15_rty_i(     m5s15_rty       )
2863
                );
2864
 
2865
wb_conmax_master_if #(aw,dw,sw) m6(
2866
                .clk_i(         clk_i           ),
2867
                .rst_i(         rst_i           ),
2868
                .wb_data_i(     m6_data_i       ),
2869
                .wb_data_o(     m6_data_o       ),
2870
                .wb_addr_i(     m6_addr_i       ),
2871
                .wb_sel_i(      m6_sel_i        ),
2872
                .wb_we_i(       m6_we_i         ),
2873
                .wb_cyc_i(      m6_cyc_i        ),
2874
                .wb_stb_i(      m6_stb_i        ),
2875
                .wb_ack_o(      m6_ack_o        ),
2876
                .wb_err_o(      m6_err_o        ),
2877
                .wb_rty_o(      m6_rty_o        ),
2878
                .s0_data_i(     m6s0_data_i     ),
2879
                .s0_data_o(     m6s0_data_o     ),
2880
                .s0_addr_o(     m6s0_addr       ),
2881
                .s0_sel_o(      m6s0_sel        ),
2882
                .s0_we_o(       m6s0_we         ),
2883
                .s0_cyc_o(      m6s0_cyc        ),
2884
                .s0_stb_o(      m6s0_stb        ),
2885
                .s0_ack_i(      m6s0_ack        ),
2886
                .s0_err_i(      m6s0_err        ),
2887
                .s0_rty_i(      m6s0_rty        ),
2888
                .s1_data_i(     m6s1_data_i     ),
2889
                .s1_data_o(     m6s1_data_o     ),
2890
                .s1_addr_o(     m6s1_addr       ),
2891
                .s1_sel_o(      m6s1_sel        ),
2892
                .s1_we_o(       m6s1_we         ),
2893
                .s1_cyc_o(      m6s1_cyc        ),
2894
                .s1_stb_o(      m6s1_stb        ),
2895
                .s1_ack_i(      m6s1_ack        ),
2896
                .s1_err_i(      m6s1_err        ),
2897
                .s1_rty_i(      m6s1_rty        ),
2898
                .s2_data_i(     m6s2_data_i     ),
2899
                .s2_data_o(     m6s2_data_o     ),
2900
                .s2_addr_o(     m6s2_addr       ),
2901
                .s2_sel_o(      m6s2_sel        ),
2902
                .s2_we_o(       m6s2_we         ),
2903
                .s2_cyc_o(      m6s2_cyc        ),
2904
                .s2_stb_o(      m6s2_stb        ),
2905
                .s2_ack_i(      m6s2_ack        ),
2906
                .s2_err_i(      m6s2_err        ),
2907
                .s2_rty_i(      m6s2_rty        ),
2908
                .s3_data_i(     m6s3_data_i     ),
2909
                .s3_data_o(     m6s3_data_o     ),
2910
                .s3_addr_o(     m6s3_addr       ),
2911
                .s3_sel_o(      m6s3_sel        ),
2912
                .s3_we_o(       m6s3_we         ),
2913
                .s3_cyc_o(      m6s3_cyc        ),
2914
                .s3_stb_o(      m6s3_stb        ),
2915
                .s3_ack_i(      m6s3_ack        ),
2916
                .s3_err_i(      m6s3_err        ),
2917
                .s3_rty_i(      m6s3_rty        ),
2918
                .s4_data_i(     m6s4_data_i     ),
2919
                .s4_data_o(     m6s4_data_o     ),
2920
                .s4_addr_o(     m6s4_addr       ),
2921
                .s4_sel_o(      m6s4_sel        ),
2922
                .s4_we_o(       m6s4_we         ),
2923
                .s4_cyc_o(      m6s4_cyc        ),
2924
                .s4_stb_o(      m6s4_stb        ),
2925
                .s4_ack_i(      m6s4_ack        ),
2926
                .s4_err_i(      m6s4_err        ),
2927
                .s4_rty_i(      m6s4_rty        ),
2928
                .s5_data_i(     m6s5_data_i     ),
2929
                .s5_data_o(     m6s5_data_o     ),
2930
                .s5_addr_o(     m6s5_addr       ),
2931
                .s5_sel_o(      m6s5_sel        ),
2932
                .s5_we_o(       m6s5_we         ),
2933
                .s5_cyc_o(      m6s5_cyc        ),
2934
                .s5_stb_o(      m6s5_stb        ),
2935
                .s5_ack_i(      m6s5_ack        ),
2936
                .s5_err_i(      m6s5_err        ),
2937
                .s5_rty_i(      m6s5_rty        ),
2938
                .s6_data_i(     m6s6_data_i     ),
2939
                .s6_data_o(     m6s6_data_o     ),
2940
                .s6_addr_o(     m6s6_addr       ),
2941
                .s6_sel_o(      m6s6_sel        ),
2942
                .s6_we_o(       m6s6_we         ),
2943
                .s6_cyc_o(      m6s6_cyc        ),
2944
                .s6_stb_o(      m6s6_stb        ),
2945
                .s6_ack_i(      m6s6_ack        ),
2946
                .s6_err_i(      m6s6_err        ),
2947
                .s6_rty_i(      m6s6_rty        ),
2948
                .s7_data_i(     m6s7_data_i     ),
2949
                .s7_data_o(     m6s7_data_o     ),
2950
                .s7_addr_o(     m6s7_addr       ),
2951
                .s7_sel_o(      m6s7_sel        ),
2952
                .s7_we_o(       m6s7_we         ),
2953
                .s7_cyc_o(      m6s7_cyc        ),
2954
                .s7_stb_o(      m6s7_stb        ),
2955
                .s7_ack_i(      m6s7_ack        ),
2956
                .s7_err_i(      m6s7_err        ),
2957
                .s7_rty_i(      m6s7_rty        ),
2958
                .s8_data_i(     m6s8_data_i     ),
2959
                .s8_data_o(     m6s8_data_o     ),
2960
                .s8_addr_o(     m6s8_addr       ),
2961
                .s8_sel_o(      m6s8_sel        ),
2962
                .s8_we_o(       m6s8_we         ),
2963
                .s8_cyc_o(      m6s8_cyc        ),
2964
                .s8_stb_o(      m6s8_stb        ),
2965
                .s8_ack_i(      m6s8_ack        ),
2966
                .s8_err_i(      m6s8_err        ),
2967
                .s8_rty_i(      m6s8_rty        ),
2968
                .s9_data_i(     m6s9_data_i     ),
2969
                .s9_data_o(     m6s9_data_o     ),
2970
                .s9_addr_o(     m6s9_addr       ),
2971
                .s9_sel_o(      m6s9_sel        ),
2972
                .s9_we_o(       m6s9_we         ),
2973
                .s9_cyc_o(      m6s9_cyc        ),
2974
                .s9_stb_o(      m6s9_stb        ),
2975
                .s9_ack_i(      m6s9_ack        ),
2976
                .s9_err_i(      m6s9_err        ),
2977
                .s9_rty_i(      m6s9_rty        ),
2978
                .s10_data_i(    m6s10_data_i    ),
2979
                .s10_data_o(    m6s10_data_o    ),
2980
                .s10_addr_o(    m6s10_addr      ),
2981
                .s10_sel_o(     m6s10_sel       ),
2982
                .s10_we_o(      m6s10_we        ),
2983
                .s10_cyc_o(     m6s10_cyc       ),
2984
                .s10_stb_o(     m6s10_stb       ),
2985
                .s10_ack_i(     m6s10_ack       ),
2986
                .s10_err_i(     m6s10_err       ),
2987
                .s10_rty_i(     m6s10_rty       ),
2988
                .s11_data_i(    m6s11_data_i    ),
2989
                .s11_data_o(    m6s11_data_o    ),
2990
                .s11_addr_o(    m6s11_addr      ),
2991
                .s11_sel_o(     m6s11_sel       ),
2992
                .s11_we_o(      m6s11_we        ),
2993
                .s11_cyc_o(     m6s11_cyc       ),
2994
                .s11_stb_o(     m6s11_stb       ),
2995
                .s11_ack_i(     m6s11_ack       ),
2996
                .s11_err_i(     m6s11_err       ),
2997
                .s11_rty_i(     m6s11_rty       ),
2998
                .s12_data_i(    m6s12_data_i    ),
2999
                .s12_data_o(    m6s12_data_o    ),
3000
                .s12_addr_o(    m6s12_addr      ),
3001
                .s12_sel_o(     m6s12_sel       ),
3002
                .s12_we_o(      m6s12_we        ),
3003
                .s12_cyc_o(     m6s12_cyc       ),
3004
                .s12_stb_o(     m6s12_stb       ),
3005
                .s12_ack_i(     m6s12_ack       ),
3006
                .s12_err_i(     m6s12_err       ),
3007
                .s12_rty_i(     m6s12_rty       ),
3008
                .s13_data_i(    m6s13_data_i    ),
3009
                .s13_data_o(    m6s13_data_o    ),
3010
                .s13_addr_o(    m6s13_addr      ),
3011
                .s13_sel_o(     m6s13_sel       ),
3012
                .s13_we_o(      m6s13_we        ),
3013
                .s13_cyc_o(     m6s13_cyc       ),
3014
                .s13_stb_o(     m6s13_stb       ),
3015
                .s13_ack_i(     m6s13_ack       ),
3016
                .s13_err_i(     m6s13_err       ),
3017
                .s13_rty_i(     m6s13_rty       ),
3018
                .s14_data_i(    m6s14_data_i    ),
3019
                .s14_data_o(    m6s14_data_o    ),
3020
                .s14_addr_o(    m6s14_addr      ),
3021
                .s14_sel_o(     m6s14_sel       ),
3022
                .s14_we_o(      m6s14_we        ),
3023
                .s14_cyc_o(     m6s14_cyc       ),
3024
                .s14_stb_o(     m6s14_stb       ),
3025
                .s14_ack_i(     m6s14_ack       ),
3026
                .s14_err_i(     m6s14_err       ),
3027
                .s14_rty_i(     m6s14_rty       ),
3028
                .s15_data_i(    m6s15_data_i    ),
3029
                .s15_data_o(    m6s15_data_o    ),
3030
                .s15_addr_o(    m6s15_addr      ),
3031
                .s15_sel_o(     m6s15_sel       ),
3032
                .s15_we_o(      m6s15_we        ),
3033
                .s15_cyc_o(     m6s15_cyc       ),
3034
                .s15_stb_o(     m6s15_stb       ),
3035
                .s15_ack_i(     m6s15_ack       ),
3036
                .s15_err_i(     m6s15_err       ),
3037
                .s15_rty_i(     m6s15_rty       )
3038
                );
3039
 
3040
wb_conmax_master_if #(aw,dw,sw) m7(
3041
                .clk_i(         clk_i           ),
3042
                .rst_i(         rst_i           ),
3043
                .wb_data_i(     m7_data_i       ),
3044
                .wb_data_o(     m7_data_o       ),
3045
                .wb_addr_i(     m7_addr_i       ),
3046
                .wb_sel_i(      m7_sel_i        ),
3047
                .wb_we_i(       m7_we_i         ),
3048
                .wb_cyc_i(      m7_cyc_i        ),
3049
                .wb_stb_i(      m7_stb_i        ),
3050
                .wb_ack_o(      m7_ack_o        ),
3051
                .wb_err_o(      m7_err_o        ),
3052
                .wb_rty_o(      m7_rty_o        ),
3053
                .s0_data_i(     m7s0_data_i     ),
3054
                .s0_data_o(     m7s0_data_o     ),
3055
                .s0_addr_o(     m7s0_addr       ),
3056
                .s0_sel_o(      m7s0_sel        ),
3057
                .s0_we_o(       m7s0_we         ),
3058
                .s0_cyc_o(      m7s0_cyc        ),
3059
                .s0_stb_o(      m7s0_stb        ),
3060
                .s0_ack_i(      m7s0_ack        ),
3061
                .s0_err_i(      m7s0_err        ),
3062
                .s0_rty_i(      m7s0_rty        ),
3063
                .s1_data_i(     m7s1_data_i     ),
3064
                .s1_data_o(     m7s1_data_o     ),
3065
                .s1_addr_o(     m7s1_addr       ),
3066
                .s1_sel_o(      m7s1_sel        ),
3067
                .s1_we_o(       m7s1_we         ),
3068
                .s1_cyc_o(      m7s1_cyc        ),
3069
                .s1_stb_o(      m7s1_stb        ),
3070
                .s1_ack_i(      m7s1_ack        ),
3071
                .s1_err_i(      m7s1_err        ),
3072
                .s1_rty_i(      m7s1_rty        ),
3073
                .s2_data_i(     m7s2_data_i     ),
3074
                .s2_data_o(     m7s2_data_o     ),
3075
                .s2_addr_o(     m7s2_addr       ),
3076
                .s2_sel_o(      m7s2_sel        ),
3077
                .s2_we_o(       m7s2_we         ),
3078
                .s2_cyc_o(      m7s2_cyc        ),
3079
                .s2_stb_o(      m7s2_stb        ),
3080
                .s2_ack_i(      m7s2_ack        ),
3081
                .s2_err_i(      m7s2_err        ),
3082
                .s2_rty_i(      m7s2_rty        ),
3083
                .s3_data_i(     m7s3_data_i     ),
3084
                .s3_data_o(     m7s3_data_o     ),
3085
                .s3_addr_o(     m7s3_addr       ),
3086
                .s3_sel_o(      m7s3_sel        ),
3087
                .s3_we_o(       m7s3_we         ),
3088
                .s3_cyc_o(      m7s3_cyc        ),
3089
                .s3_stb_o(      m7s3_stb        ),
3090
                .s3_ack_i(      m7s3_ack        ),
3091
                .s3_err_i(      m7s3_err        ),
3092
                .s3_rty_i(      m7s3_rty        ),
3093
                .s4_data_i(     m7s4_data_i     ),
3094
                .s4_data_o(     m7s4_data_o     ),
3095
                .s4_addr_o(     m7s4_addr       ),
3096
                .s4_sel_o(      m7s4_sel        ),
3097
                .s4_we_o(       m7s4_we         ),
3098
                .s4_cyc_o(      m7s4_cyc        ),
3099
                .s4_stb_o(      m7s4_stb        ),
3100
                .s4_ack_i(      m7s4_ack        ),
3101
                .s4_err_i(      m7s4_err        ),
3102
                .s4_rty_i(      m7s4_rty        ),
3103
                .s5_data_i(     m7s5_data_i     ),
3104
                .s5_data_o(     m7s5_data_o     ),
3105
                .s5_addr_o(     m7s5_addr       ),
3106
                .s5_sel_o(      m7s5_sel        ),
3107
                .s5_we_o(       m7s5_we         ),
3108
                .s5_cyc_o(      m7s5_cyc        ),
3109
                .s5_stb_o(      m7s5_stb        ),
3110
                .s5_ack_i(      m7s5_ack        ),
3111
                .s5_err_i(      m7s5_err        ),
3112
                .s5_rty_i(      m7s5_rty        ),
3113
                .s6_data_i(     m7s6_data_i     ),
3114
                .s6_data_o(     m7s6_data_o     ),
3115
                .s6_addr_o(     m7s6_addr       ),
3116
                .s6_sel_o(      m7s6_sel        ),
3117
                .s6_we_o(       m7s6_we         ),
3118
                .s6_cyc_o(      m7s6_cyc        ),
3119
                .s6_stb_o(      m7s6_stb        ),
3120
                .s6_ack_i(      m7s6_ack        ),
3121
                .s6_err_i(      m7s6_err        ),
3122
                .s6_rty_i(      m7s6_rty        ),
3123
                .s7_data_i(     m7s7_data_i     ),
3124
                .s7_data_o(     m7s7_data_o     ),
3125
                .s7_addr_o(     m7s7_addr       ),
3126
                .s7_sel_o(      m7s7_sel        ),
3127
                .s7_we_o(       m7s7_we         ),
3128
                .s7_cyc_o(      m7s7_cyc        ),
3129
                .s7_stb_o(      m7s7_stb        ),
3130
                .s7_ack_i(      m7s7_ack        ),
3131
                .s7_err_i(      m7s7_err        ),
3132
                .s7_rty_i(      m7s7_rty        ),
3133
                .s8_data_i(     m7s8_data_i     ),
3134
                .s8_data_o(     m7s8_data_o     ),
3135
                .s8_addr_o(     m7s8_addr       ),
3136
                .s8_sel_o(      m7s8_sel        ),
3137
                .s8_we_o(       m7s8_we         ),
3138
                .s8_cyc_o(      m7s8_cyc        ),
3139
                .s8_stb_o(      m7s8_stb        ),
3140
                .s8_ack_i(      m7s8_ack        ),
3141
                .s8_err_i(      m7s8_err        ),
3142
                .s8_rty_i(      m7s8_rty        ),
3143
                .s9_data_i(     m7s9_data_i     ),
3144
                .s9_data_o(     m7s9_data_o     ),
3145
                .s9_addr_o(     m7s9_addr       ),
3146
                .s9_sel_o(      m7s9_sel        ),
3147
                .s9_we_o(       m7s9_we         ),
3148
                .s9_cyc_o(      m7s9_cyc        ),
3149
                .s9_stb_o(      m7s9_stb        ),
3150
                .s9_ack_i(      m7s9_ack        ),
3151
                .s9_err_i(      m7s9_err        ),
3152
                .s9_rty_i(      m7s9_rty        ),
3153
                .s10_data_i(    m7s10_data_i    ),
3154
                .s10_data_o(    m7s10_data_o    ),
3155
                .s10_addr_o(    m7s10_addr      ),
3156
                .s10_sel_o(     m7s10_sel       ),
3157
                .s10_we_o(      m7s10_we        ),
3158
                .s10_cyc_o(     m7s10_cyc       ),
3159
                .s10_stb_o(     m7s10_stb       ),
3160
                .s10_ack_i(     m7s10_ack       ),
3161
                .s10_err_i(     m7s10_err       ),
3162
                .s10_rty_i(     m7s10_rty       ),
3163
                .s11_data_i(    m7s11_data_i    ),
3164
                .s11_data_o(    m7s11_data_o    ),
3165
                .s11_addr_o(    m7s11_addr      ),
3166
                .s11_sel_o(     m7s11_sel       ),
3167
                .s11_we_o(      m7s11_we        ),
3168
                .s11_cyc_o(     m7s11_cyc       ),
3169
                .s11_stb_o(     m7s11_stb       ),
3170
                .s11_ack_i(     m7s11_ack       ),
3171
                .s11_err_i(     m7s11_err       ),
3172
                .s11_rty_i(     m7s11_rty       ),
3173
                .s12_data_i(    m7s12_data_i    ),
3174
                .s12_data_o(    m7s12_data_o    ),
3175
                .s12_addr_o(    m7s12_addr      ),
3176
                .s12_sel_o(     m7s12_sel       ),
3177
                .s12_we_o(      m7s12_we        ),
3178
                .s12_cyc_o(     m7s12_cyc       ),
3179
                .s12_stb_o(     m7s12_stb       ),
3180
                .s12_ack_i(     m7s12_ack       ),
3181
                .s12_err_i(     m7s12_err       ),
3182
                .s12_rty_i(     m7s12_rty       ),
3183
                .s13_data_i(    m7s13_data_i    ),
3184
                .s13_data_o(    m7s13_data_o    ),
3185
                .s13_addr_o(    m7s13_addr      ),
3186
                .s13_sel_o(     m7s13_sel       ),
3187
                .s13_we_o(      m7s13_we        ),
3188
                .s13_cyc_o(     m7s13_cyc       ),
3189
                .s13_stb_o(     m7s13_stb       ),
3190
                .s13_ack_i(     m7s13_ack       ),
3191
                .s13_err_i(     m7s13_err       ),
3192
                .s13_rty_i(     m7s13_rty       ),
3193
                .s14_data_i(    m7s14_data_i    ),
3194
                .s14_data_o(    m7s14_data_o    ),
3195
                .s14_addr_o(    m7s14_addr      ),
3196
                .s14_sel_o(     m7s14_sel       ),
3197
                .s14_we_o(      m7s14_we        ),
3198
                .s14_cyc_o(     m7s14_cyc       ),
3199
                .s14_stb_o(     m7s14_stb       ),
3200
                .s14_ack_i(     m7s14_ack       ),
3201
                .s14_err_i(     m7s14_err       ),
3202
                .s14_rty_i(     m7s14_rty       ),
3203
                .s15_data_i(    m7s15_data_i    ),
3204
                .s15_data_o(    m7s15_data_o    ),
3205
                .s15_addr_o(    m7s15_addr      ),
3206
                .s15_sel_o(     m7s15_sel       ),
3207
                .s15_we_o(      m7s15_we        ),
3208
                .s15_cyc_o(     m7s15_cyc       ),
3209
                .s15_stb_o(     m7s15_stb       ),
3210
                .s15_ack_i(     m7s15_ack       ),
3211
                .s15_err_i(     m7s15_err       ),
3212
                .s15_rty_i(     m7s15_rty       )
3213
                );
3214
 
3215
////////////////////////////////////////////////////////////////////
3216
//
3217
// Slave Interfaces
3218
//
3219
 
3220
wb_conmax_slave_if #(pri_sel0,aw,dw,sw) s0(
3221
                .clk_i(         clk_i           ),
3222
                .rst_i(         rst_i           ),
3223
                .conf(          conf0           ),
3224
                .wb_data_i(     s0_data_i       ),
3225
                .wb_data_o(     s0_data_o       ),
3226
                .wb_addr_o(     s0_addr_o       ),
3227
                .wb_sel_o(      s0_sel_o        ),
3228
                .wb_we_o(       s0_we_o         ),
3229
                .wb_cyc_o(      s0_cyc_o        ),
3230
                .wb_stb_o(      s0_stb_o        ),
3231
                .wb_ack_i(      s0_ack_i        ),
3232
                .wb_err_i(      s0_err_i        ),
3233
                .wb_rty_i(      s0_rty_i        ),
3234
                .m0_data_i(     m0s0_data_o     ),
3235
                .m0_data_o(     m0s0_data_i     ),
3236
                .m0_addr_i(     m0s0_addr       ),
3237
                .m0_sel_i(      m0s0_sel        ),
3238
                .m0_we_i(       m0s0_we ),
3239
                .m0_cyc_i(      m0s0_cyc        ),
3240
                .m0_stb_i(      m0s0_stb        ),
3241
                .m0_ack_o(      m0s0_ack        ),
3242
                .m0_err_o(      m0s0_err        ),
3243
                .m0_rty_o(      m0s0_rty        ),
3244
                .m1_data_i(     m1s0_data_o      ),
3245
                .m1_data_o(     m1s0_data_i      ),
3246
                .m1_addr_i(     m1s0_addr        ),
3247
                .m1_sel_i(      m1s0_sel ),
3248
                .m1_we_i(       m1s0_we  ),
3249
                .m1_cyc_i(      m1s0_cyc ),
3250
                .m1_stb_i(      m1s0_stb ),
3251
                .m1_ack_o(      m1s0_ack ),
3252
                .m1_err_o(      m1s0_err ),
3253
                .m1_rty_o(      m1s0_rty ),
3254
                .m2_data_i(     m2s0_data_o     ),
3255
                .m2_data_o(     m2s0_data_i     ),
3256
                .m2_addr_i(     m2s0_addr       ),
3257
                .m2_sel_i(      m2s0_sel        ),
3258
                .m2_we_i(       m2s0_we ),
3259
                .m2_cyc_i(      m2s0_cyc        ),
3260
                .m2_stb_i(      m2s0_stb        ),
3261
                .m2_ack_o(      m2s0_ack        ),
3262
                .m2_err_o(      m2s0_err        ),
3263
                .m2_rty_o(      m2s0_rty        ),
3264
                .m3_data_i(     m3s0_data_o     ),
3265
                .m3_data_o(     m3s0_data_i     ),
3266
                .m3_addr_i(     m3s0_addr       ),
3267
                .m3_sel_i(      m3s0_sel        ),
3268
                .m3_we_i(       m3s0_we ),
3269
                .m3_cyc_i(      m3s0_cyc        ),
3270
                .m3_stb_i(      m3s0_stb        ),
3271
                .m3_ack_o(      m3s0_ack        ),
3272
                .m3_err_o(      m3s0_err        ),
3273
                .m3_rty_o(      m3s0_rty        ),
3274
                .m4_data_i(     m4s0_data_o     ),
3275
                .m4_data_o(     m4s0_data_i     ),
3276
                .m4_addr_i(     m4s0_addr       ),
3277
                .m4_sel_i(      m4s0_sel        ),
3278
                .m4_we_i(       m4s0_we ),
3279
                .m4_cyc_i(      m4s0_cyc        ),
3280
                .m4_stb_i(      m4s0_stb        ),
3281
                .m4_ack_o(      m4s0_ack        ),
3282
                .m4_err_o(      m4s0_err        ),
3283
                .m4_rty_o(      m4s0_rty        ),
3284
                .m5_data_i(     m5s0_data_o     ),
3285
                .m5_data_o(     m5s0_data_i     ),
3286
                .m5_addr_i(     m5s0_addr       ),
3287
                .m5_sel_i(      m5s0_sel        ),
3288
                .m5_we_i(       m5s0_we ),
3289
                .m5_cyc_i(      m5s0_cyc        ),
3290
                .m5_stb_i(      m5s0_stb        ),
3291
                .m5_ack_o(      m5s0_ack        ),
3292
                .m5_err_o(      m5s0_err        ),
3293
                .m5_rty_o(      m5s0_rty        ),
3294
                .m6_data_i(     m6s0_data_o     ),
3295
                .m6_data_o(     m6s0_data_i     ),
3296
                .m6_addr_i(     m6s0_addr       ),
3297
                .m6_sel_i(      m6s0_sel        ),
3298
                .m6_we_i(       m6s0_we ),
3299
                .m6_cyc_i(      m6s0_cyc        ),
3300
                .m6_stb_i(      m6s0_stb        ),
3301
                .m6_ack_o(      m6s0_ack        ),
3302
                .m6_err_o(      m6s0_err        ),
3303
                .m6_rty_o(      m6s0_rty        ),
3304
                .m7_data_i(     m7s0_data_o     ),
3305
                .m7_data_o(     m7s0_data_i     ),
3306
                .m7_addr_i(     m7s0_addr       ),
3307
                .m7_sel_i(      m7s0_sel        ),
3308
                .m7_we_i(       m7s0_we ),
3309
                .m7_cyc_i(      m7s0_cyc        ),
3310
                .m7_stb_i(      m7s0_stb        ),
3311
                .m7_ack_o(      m7s0_ack        ),
3312
                .m7_err_o(      m7s0_err        ),
3313
                .m7_rty_o(      m7s0_rty        )
3314
                );
3315
 
3316
wb_conmax_slave_if #(pri_sel1,aw,dw,sw) s1(
3317
                .clk_i(         clk_i           ),
3318
                .rst_i(         rst_i           ),
3319
                .conf(          conf1           ),
3320
                .wb_data_i(     s1_data_i       ),
3321
                .wb_data_o(     s1_data_o       ),
3322
                .wb_addr_o(     s1_addr_o       ),
3323
                .wb_sel_o(      s1_sel_o        ),
3324
                .wb_we_o(       s1_we_o         ),
3325
                .wb_cyc_o(      s1_cyc_o        ),
3326
                .wb_stb_o(      s1_stb_o        ),
3327
                .wb_ack_i(      s1_ack_i        ),
3328
                .wb_err_i(      s1_err_i        ),
3329
                .wb_rty_i(      s1_rty_i        ),
3330
                .m0_data_i(     m0s1_data_o     ),
3331
                .m0_data_o(     m0s1_data_i     ),
3332
                .m0_addr_i(     m0s1_addr       ),
3333
                .m0_sel_i(      m0s1_sel        ),
3334
                .m0_we_i(       m0s1_we ),
3335
                .m0_cyc_i(      m0s1_cyc        ),
3336
                .m0_stb_i(      m0s1_stb        ),
3337
                .m0_ack_o(      m0s1_ack        ),
3338
                .m0_err_o(      m0s1_err        ),
3339
                .m0_rty_o(      m0s1_rty        ),
3340
                .m1_data_i(     m1s1_data_o     ),
3341
                .m1_data_o(     m1s1_data_i     ),
3342
                .m1_addr_i(     m1s1_addr       ),
3343
                .m1_sel_i(      m1s1_sel        ),
3344
                .m1_we_i(       m1s1_we ),
3345
                .m1_cyc_i(      m1s1_cyc        ),
3346
                .m1_stb_i(      m1s1_stb        ),
3347
                .m1_ack_o(      m1s1_ack        ),
3348
                .m1_err_o(      m1s1_err        ),
3349
                .m1_rty_o(      m1s1_rty        ),
3350
                .m2_data_i(     m2s1_data_o     ),
3351
                .m2_data_o(     m2s1_data_i     ),
3352
                .m2_addr_i(     m2s1_addr       ),
3353
                .m2_sel_i(      m2s1_sel        ),
3354
                .m2_we_i(       m2s1_we ),
3355
                .m2_cyc_i(      m2s1_cyc        ),
3356
                .m2_stb_i(      m2s1_stb        ),
3357
                .m2_ack_o(      m2s1_ack        ),
3358
                .m2_err_o(      m2s1_err        ),
3359
                .m2_rty_o(      m2s1_rty        ),
3360
                .m3_data_i(     m3s1_data_o     ),
3361
                .m3_data_o(     m3s1_data_i     ),
3362
                .m3_addr_i(     m3s1_addr       ),
3363
                .m3_sel_i(      m3s1_sel        ),
3364
                .m3_we_i(       m3s1_we ),
3365
                .m3_cyc_i(      m3s1_cyc        ),
3366
                .m3_stb_i(      m3s1_stb        ),
3367
                .m3_ack_o(      m3s1_ack        ),
3368
                .m3_err_o(      m3s1_err        ),
3369
                .m3_rty_o(      m3s1_rty        ),
3370
                .m4_data_i(     m4s1_data_o     ),
3371
                .m4_data_o(     m4s1_data_i     ),
3372
                .m4_addr_i(     m4s1_addr       ),
3373
                .m4_sel_i(      m4s1_sel        ),
3374
                .m4_we_i(       m4s1_we ),
3375
                .m4_cyc_i(      m4s1_cyc        ),
3376
                .m4_stb_i(      m4s1_stb        ),
3377
                .m4_ack_o(      m4s1_ack        ),
3378
                .m4_err_o(      m4s1_err        ),
3379
                .m4_rty_o(      m4s1_rty        ),
3380
                .m5_data_i(     m5s1_data_o     ),
3381
                .m5_data_o(     m5s1_data_i     ),
3382
                .m5_addr_i(     m5s1_addr       ),
3383
                .m5_sel_i(      m5s1_sel        ),
3384
                .m5_we_i(       m5s1_we ),
3385
                .m5_cyc_i(      m5s1_cyc        ),
3386
                .m5_stb_i(      m5s1_stb        ),
3387
                .m5_ack_o(      m5s1_ack        ),
3388
                .m5_err_o(      m5s1_err        ),
3389
                .m5_rty_o(      m5s1_rty        ),
3390
                .m6_data_i(     m6s1_data_o     ),
3391
                .m6_data_o(     m6s1_data_i     ),
3392
                .m6_addr_i(     m6s1_addr       ),
3393
                .m6_sel_i(      m6s1_sel        ),
3394
                .m6_we_i(       m6s1_we ),
3395
                .m6_cyc_i(      m6s1_cyc        ),
3396
                .m6_stb_i(      m6s1_stb        ),
3397
                .m6_ack_o(      m6s1_ack        ),
3398
                .m6_err_o(      m6s1_err        ),
3399
                .m6_rty_o(      m6s1_rty        ),
3400
                .m7_data_i(     m7s1_data_o     ),
3401
                .m7_data_o(     m7s1_data_i     ),
3402
                .m7_addr_i(     m7s1_addr       ),
3403
                .m7_sel_i(      m7s1_sel        ),
3404
                .m7_we_i(       m7s1_we ),
3405
                .m7_cyc_i(      m7s1_cyc        ),
3406
                .m7_stb_i(      m7s1_stb        ),
3407
                .m7_ack_o(      m7s1_ack        ),
3408
                .m7_err_o(      m7s1_err        ),
3409
                .m7_rty_o(      m7s1_rty        )
3410
                );
3411
 
3412
wb_conmax_slave_if #(pri_sel2,aw,dw,sw) s2(
3413
                .clk_i(         clk_i           ),
3414
                .rst_i(         rst_i           ),
3415
                .conf(          conf2           ),
3416
                .wb_data_i(     s2_data_i       ),
3417
                .wb_data_o(     s2_data_o       ),
3418
                .wb_addr_o(     s2_addr_o       ),
3419
                .wb_sel_o(      s2_sel_o        ),
3420
                .wb_we_o(       s2_we_o         ),
3421
                .wb_cyc_o(      s2_cyc_o        ),
3422
                .wb_stb_o(      s2_stb_o        ),
3423
                .wb_ack_i(      s2_ack_i        ),
3424
                .wb_err_i(      s2_err_i        ),
3425
                .wb_rty_i(      s2_rty_i        ),
3426
                .m0_data_i(     m0s2_data_o     ),
3427
                .m0_data_o(     m0s2_data_i     ),
3428
                .m0_addr_i(     m0s2_addr       ),
3429
                .m0_sel_i(      m0s2_sel        ),
3430
                .m0_we_i(       m0s2_we ),
3431
                .m0_cyc_i(      m0s2_cyc        ),
3432
                .m0_stb_i(      m0s2_stb        ),
3433
                .m0_ack_o(      m0s2_ack        ),
3434
                .m0_err_o(      m0s2_err        ),
3435
                .m0_rty_o(      m0s2_rty        ),
3436
                .m1_data_i(     m1s2_data_o     ),
3437
                .m1_data_o(     m1s2_data_i     ),
3438
                .m1_addr_i(     m1s2_addr       ),
3439
                .m1_sel_i(      m1s2_sel        ),
3440
                .m1_we_i(       m1s2_we ),
3441
                .m1_cyc_i(      m1s2_cyc        ),
3442
                .m1_stb_i(      m1s2_stb        ),
3443
                .m1_ack_o(      m1s2_ack        ),
3444
                .m1_err_o(      m1s2_err        ),
3445
                .m1_rty_o(      m1s2_rty        ),
3446
                .m2_data_i(     m2s2_data_o     ),
3447
                .m2_data_o(     m2s2_data_i     ),
3448
                .m2_addr_i(     m2s2_addr       ),
3449
                .m2_sel_i(      m2s2_sel        ),
3450
                .m2_we_i(       m2s2_we ),
3451
                .m2_cyc_i(      m2s2_cyc        ),
3452
                .m2_stb_i(      m2s2_stb        ),
3453
                .m2_ack_o(      m2s2_ack        ),
3454
                .m2_err_o(      m2s2_err        ),
3455
                .m2_rty_o(      m2s2_rty        ),
3456
                .m3_data_i(     m3s2_data_o     ),
3457
                .m3_data_o(     m3s2_data_i     ),
3458
                .m3_addr_i(     m3s2_addr       ),
3459
                .m3_sel_i(      m3s2_sel        ),
3460
                .m3_we_i(       m3s2_we ),
3461
                .m3_cyc_i(      m3s2_cyc        ),
3462
                .m3_stb_i(      m3s2_stb        ),
3463
                .m3_ack_o(      m3s2_ack        ),
3464
                .m3_err_o(      m3s2_err        ),
3465
                .m3_rty_o(      m3s2_rty        ),
3466
                .m4_data_i(     m4s2_data_o     ),
3467
                .m4_data_o(     m4s2_data_i     ),
3468
                .m4_addr_i(     m4s2_addr       ),
3469
                .m4_sel_i(      m4s2_sel        ),
3470
                .m4_we_i(       m4s2_we ),
3471
                .m4_cyc_i(      m4s2_cyc        ),
3472
                .m4_stb_i(      m4s2_stb        ),
3473
                .m4_ack_o(      m4s2_ack        ),
3474
                .m4_err_o(      m4s2_err        ),
3475
                .m4_rty_o(      m4s2_rty        ),
3476
                .m5_data_i(     m5s2_data_o     ),
3477
                .m5_data_o(     m5s2_data_i     ),
3478
                .m5_addr_i(     m5s2_addr       ),
3479
                .m5_sel_i(      m5s2_sel        ),
3480
                .m5_we_i(       m5s2_we ),
3481
                .m5_cyc_i(      m5s2_cyc        ),
3482
                .m5_stb_i(      m5s2_stb        ),
3483
                .m5_ack_o(      m5s2_ack        ),
3484
                .m5_err_o(      m5s2_err        ),
3485
                .m5_rty_o(      m5s2_rty        ),
3486
                .m6_data_i(     m6s2_data_o     ),
3487
                .m6_data_o(     m6s2_data_i     ),
3488
                .m6_addr_i(     m6s2_addr       ),
3489
                .m6_sel_i(      m6s2_sel        ),
3490
                .m6_we_i(       m6s2_we ),
3491
                .m6_cyc_i(      m6s2_cyc        ),
3492
                .m6_stb_i(      m6s2_stb        ),
3493
                .m6_ack_o(      m6s2_ack        ),
3494
                .m6_err_o(      m6s2_err        ),
3495
                .m6_rty_o(      m6s2_rty        ),
3496
                .m7_data_i(     m7s2_data_o     ),
3497
                .m7_data_o(     m7s2_data_i     ),
3498
                .m7_addr_i(     m7s2_addr       ),
3499
                .m7_sel_i(      m7s2_sel        ),
3500
                .m7_we_i(       m7s2_we ),
3501
                .m7_cyc_i(      m7s2_cyc        ),
3502
                .m7_stb_i(      m7s2_stb        ),
3503
                .m7_ack_o(      m7s2_ack        ),
3504
                .m7_err_o(      m7s2_err        ),
3505
                .m7_rty_o(      m7s2_rty        )
3506
                );
3507
 
3508
wb_conmax_slave_if #(pri_sel3,aw,dw,sw) s3(
3509
                .clk_i(         clk_i           ),
3510
                .rst_i(         rst_i           ),
3511
                .conf(          conf3           ),
3512
                .wb_data_i(     s3_data_i       ),
3513
                .wb_data_o(     s3_data_o       ),
3514
                .wb_addr_o(     s3_addr_o       ),
3515
                .wb_sel_o(      s3_sel_o        ),
3516
                .wb_we_o(       s3_we_o         ),
3517
                .wb_cyc_o(      s3_cyc_o        ),
3518
                .wb_stb_o(      s3_stb_o        ),
3519
                .wb_ack_i(      s3_ack_i        ),
3520
                .wb_err_i(      s3_err_i        ),
3521
                .wb_rty_i(      s3_rty_i        ),
3522
                .m0_data_i(     m0s3_data_o     ),
3523
                .m0_data_o(     m0s3_data_i     ),
3524
                .m0_addr_i(     m0s3_addr       ),
3525
                .m0_sel_i(      m0s3_sel        ),
3526
                .m0_we_i(       m0s3_we ),
3527
                .m0_cyc_i(      m0s3_cyc        ),
3528
                .m0_stb_i(      m0s3_stb        ),
3529
                .m0_ack_o(      m0s3_ack        ),
3530
                .m0_err_o(      m0s3_err        ),
3531
                .m0_rty_o(      m0s3_rty        ),
3532
                .m1_data_i(     m1s3_data_o     ),
3533
                .m1_data_o(     m1s3_data_i     ),
3534
                .m1_addr_i(     m1s3_addr       ),
3535
                .m1_sel_i(      m1s3_sel        ),
3536
                .m1_we_i(       m1s3_we ),
3537
                .m1_cyc_i(      m1s3_cyc        ),
3538
                .m1_stb_i(      m1s3_stb        ),
3539
                .m1_ack_o(      m1s3_ack        ),
3540
                .m1_err_o(      m1s3_err        ),
3541
                .m1_rty_o(      m1s3_rty        ),
3542
                .m2_data_i(     m2s3_data_o     ),
3543
                .m2_data_o(     m2s3_data_i     ),
3544
                .m2_addr_i(     m2s3_addr       ),
3545
                .m2_sel_i(      m2s3_sel        ),
3546
                .m2_we_i(       m2s3_we ),
3547
                .m2_cyc_i(      m2s3_cyc        ),
3548
                .m2_stb_i(      m2s3_stb        ),
3549
                .m2_ack_o(      m2s3_ack        ),
3550
                .m2_err_o(      m2s3_err        ),
3551
                .m2_rty_o(      m2s3_rty        ),
3552
                .m3_data_i(     m3s3_data_o     ),
3553
                .m3_data_o(     m3s3_data_i     ),
3554
                .m3_addr_i(     m3s3_addr       ),
3555
                .m3_sel_i(      m3s3_sel        ),
3556
                .m3_we_i(       m3s3_we ),
3557
                .m3_cyc_i(      m3s3_cyc        ),
3558
                .m3_stb_i(      m3s3_stb        ),
3559
                .m3_ack_o(      m3s3_ack        ),
3560
                .m3_err_o(      m3s3_err        ),
3561
                .m3_rty_o(      m3s3_rty        ),
3562
                .m4_data_i(     m4s3_data_o     ),
3563
                .m4_data_o(     m4s3_data_i     ),
3564
                .m4_addr_i(     m4s3_addr       ),
3565
                .m4_sel_i(      m4s3_sel        ),
3566
                .m4_we_i(       m4s3_we ),
3567
                .m4_cyc_i(      m4s3_cyc        ),
3568
                .m4_stb_i(      m4s3_stb        ),
3569
                .m4_ack_o(      m4s3_ack        ),
3570
                .m4_err_o(      m4s3_err        ),
3571
                .m4_rty_o(      m4s3_rty        ),
3572
                .m5_data_i(     m5s3_data_o     ),
3573
                .m5_data_o(     m5s3_data_i     ),
3574
                .m5_addr_i(     m5s3_addr       ),
3575
                .m5_sel_i(      m5s3_sel        ),
3576
                .m5_we_i(       m5s3_we ),
3577
                .m5_cyc_i(      m5s3_cyc        ),
3578
                .m5_stb_i(      m5s3_stb        ),
3579
                .m5_ack_o(      m5s3_ack        ),
3580
                .m5_err_o(      m5s3_err        ),
3581
                .m5_rty_o(      m5s3_rty        ),
3582
                .m6_data_i(     m6s3_data_o     ),
3583
                .m6_data_o(     m6s3_data_i     ),
3584
                .m6_addr_i(     m6s3_addr       ),
3585
                .m6_sel_i(      m6s3_sel        ),
3586
                .m6_we_i(       m6s3_we ),
3587
                .m6_cyc_i(      m6s3_cyc        ),
3588
                .m6_stb_i(      m6s3_stb        ),
3589
                .m6_ack_o(      m6s3_ack        ),
3590
                .m6_err_o(      m6s3_err        ),
3591
                .m6_rty_o(      m6s3_rty        ),
3592
                .m7_data_i(     m7s3_data_o     ),
3593
                .m7_data_o(     m7s3_data_i     ),
3594
                .m7_addr_i(     m7s3_addr       ),
3595
                .m7_sel_i(      m7s3_sel        ),
3596
                .m7_we_i(       m7s3_we ),
3597
                .m7_cyc_i(      m7s3_cyc        ),
3598
                .m7_stb_i(      m7s3_stb        ),
3599
                .m7_ack_o(      m7s3_ack        ),
3600
                .m7_err_o(      m7s3_err        ),
3601
                .m7_rty_o(      m7s3_rty        )
3602
                );
3603
 
3604
wb_conmax_slave_if #(pri_sel4,aw,dw,sw) s4(
3605
                .clk_i(         clk_i           ),
3606
                .rst_i(         rst_i           ),
3607
                .conf(          conf4           ),
3608
                .wb_data_i(     s4_data_i       ),
3609
                .wb_data_o(     s4_data_o       ),
3610
                .wb_addr_o(     s4_addr_o       ),
3611
                .wb_sel_o(      s4_sel_o        ),
3612
                .wb_we_o(       s4_we_o         ),
3613
                .wb_cyc_o(      s4_cyc_o        ),
3614
                .wb_stb_o(      s4_stb_o        ),
3615
                .wb_ack_i(      s4_ack_i        ),
3616
                .wb_err_i(      s4_err_i        ),
3617
                .wb_rty_i(      s4_rty_i        ),
3618
                .m0_data_i(     m0s4_data_o     ),
3619
                .m0_data_o(     m0s4_data_i     ),
3620
                .m0_addr_i(     m0s4_addr       ),
3621
                .m0_sel_i(      m0s4_sel        ),
3622
                .m0_we_i(       m0s4_we ),
3623
                .m0_cyc_i(      m0s4_cyc        ),
3624
                .m0_stb_i(      m0s4_stb        ),
3625
                .m0_ack_o(      m0s4_ack        ),
3626
                .m0_err_o(      m0s4_err        ),
3627
                .m0_rty_o(      m0s4_rty        ),
3628
                .m1_data_i(     m1s4_data_o     ),
3629
                .m1_data_o(     m1s4_data_i     ),
3630
                .m1_addr_i(     m1s4_addr       ),
3631
                .m1_sel_i(      m1s4_sel        ),
3632
                .m1_we_i(       m1s4_we ),
3633
                .m1_cyc_i(      m1s4_cyc        ),
3634
                .m1_stb_i(      m1s4_stb        ),
3635
                .m1_ack_o(      m1s4_ack        ),
3636
                .m1_err_o(      m1s4_err        ),
3637
                .m1_rty_o(      m1s4_rty        ),
3638
                .m2_data_i(     m2s4_data_o     ),
3639
                .m2_data_o(     m2s4_data_i     ),
3640
                .m2_addr_i(     m2s4_addr       ),
3641
                .m2_sel_i(      m2s4_sel        ),
3642
                .m2_we_i(       m2s4_we ),
3643
                .m2_cyc_i(      m2s4_cyc        ),
3644
                .m2_stb_i(      m2s4_stb        ),
3645
                .m2_ack_o(      m2s4_ack        ),
3646
                .m2_err_o(      m2s4_err        ),
3647
                .m2_rty_o(      m2s4_rty        ),
3648
                .m3_data_i(     m3s4_data_o     ),
3649
                .m3_data_o(     m3s4_data_i     ),
3650
                .m3_addr_i(     m3s4_addr       ),
3651
                .m3_sel_i(      m3s4_sel        ),
3652
                .m3_we_i(       m3s4_we ),
3653
                .m3_cyc_i(      m3s4_cyc        ),
3654
                .m3_stb_i(      m3s4_stb        ),
3655
                .m3_ack_o(      m3s4_ack        ),
3656
                .m3_err_o(      m3s4_err        ),
3657
                .m3_rty_o(      m3s4_rty        ),
3658
                .m4_data_i(     m4s4_data_o     ),
3659
                .m4_data_o(     m4s4_data_i     ),
3660
                .m4_addr_i(     m4s4_addr       ),
3661
                .m4_sel_i(      m4s4_sel        ),
3662
                .m4_we_i(       m4s4_we ),
3663
                .m4_cyc_i(      m4s4_cyc        ),
3664
                .m4_stb_i(      m4s4_stb        ),
3665
                .m4_ack_o(      m4s4_ack        ),
3666
                .m4_err_o(      m4s4_err        ),
3667
                .m4_rty_o(      m4s4_rty        ),
3668
                .m5_data_i(     m5s4_data_o     ),
3669
                .m5_data_o(     m5s4_data_i     ),
3670
                .m5_addr_i(     m5s4_addr       ),
3671
                .m5_sel_i(      m5s4_sel        ),
3672
                .m5_we_i(       m5s4_we ),
3673
                .m5_cyc_i(      m5s4_cyc        ),
3674
                .m5_stb_i(      m5s4_stb        ),
3675
                .m5_ack_o(      m5s4_ack        ),
3676
                .m5_err_o(      m5s4_err        ),
3677
                .m5_rty_o(      m5s4_rty        ),
3678
                .m6_data_i(     m6s4_data_o     ),
3679
                .m6_data_o(     m6s4_data_i     ),
3680
                .m6_addr_i(     m6s4_addr       ),
3681
                .m6_sel_i(      m6s4_sel        ),
3682
                .m6_we_i(       m6s4_we ),
3683
                .m6_cyc_i(      m6s4_cyc        ),
3684
                .m6_stb_i(      m6s4_stb        ),
3685
                .m6_ack_o(      m6s4_ack        ),
3686
                .m6_err_o(      m6s4_err        ),
3687
                .m6_rty_o(      m6s4_rty        ),
3688
                .m7_data_i(     m7s4_data_o     ),
3689
                .m7_data_o(     m7s4_data_i     ),
3690
                .m7_addr_i(     m7s4_addr       ),
3691
                .m7_sel_i(      m7s4_sel        ),
3692
                .m7_we_i(       m7s4_we ),
3693
                .m7_cyc_i(      m7s4_cyc        ),
3694
                .m7_stb_i(      m7s4_stb        ),
3695
                .m7_ack_o(      m7s4_ack        ),
3696
                .m7_err_o(      m7s4_err        ),
3697
                .m7_rty_o(      m7s4_rty        )
3698
                );
3699
 
3700
wb_conmax_slave_if #(pri_sel5,aw,dw,sw) s5(
3701
                .clk_i(         clk_i           ),
3702
                .rst_i(         rst_i           ),
3703
                .conf(          conf5           ),
3704
                .wb_data_i(     s5_data_i       ),
3705
                .wb_data_o(     s5_data_o       ),
3706
                .wb_addr_o(     s5_addr_o       ),
3707
                .wb_sel_o(      s5_sel_o        ),
3708
                .wb_we_o(       s5_we_o         ),
3709
                .wb_cyc_o(      s5_cyc_o        ),
3710
                .wb_stb_o(      s5_stb_o        ),
3711
                .wb_ack_i(      s5_ack_i        ),
3712
                .wb_err_i(      s5_err_i        ),
3713
                .wb_rty_i(      s5_rty_i        ),
3714
                .m0_data_i(     m0s5_data_o     ),
3715
                .m0_data_o(     m0s5_data_i     ),
3716
                .m0_addr_i(     m0s5_addr       ),
3717
                .m0_sel_i(      m0s5_sel        ),
3718
                .m0_we_i(       m0s5_we ),
3719
                .m0_cyc_i(      m0s5_cyc        ),
3720
                .m0_stb_i(      m0s5_stb        ),
3721
                .m0_ack_o(      m0s5_ack        ),
3722
                .m0_err_o(      m0s5_err        ),
3723
                .m0_rty_o(      m0s5_rty        ),
3724
                .m1_data_i(     m1s5_data_o     ),
3725
                .m1_data_o(     m1s5_data_i     ),
3726
                .m1_addr_i(     m1s5_addr       ),
3727
                .m1_sel_i(      m1s5_sel        ),
3728
                .m1_we_i(       m1s5_we ),
3729
                .m1_cyc_i(      m1s5_cyc        ),
3730
                .m1_stb_i(      m1s5_stb        ),
3731
                .m1_ack_o(      m1s5_ack        ),
3732
                .m1_err_o(      m1s5_err        ),
3733
                .m1_rty_o(      m1s5_rty        ),
3734
                .m2_data_i(     m2s5_data_o     ),
3735
                .m2_data_o(     m2s5_data_i     ),
3736
                .m2_addr_i(     m2s5_addr       ),
3737
                .m2_sel_i(      m2s5_sel        ),
3738
                .m2_we_i(       m2s5_we ),
3739
                .m2_cyc_i(      m2s5_cyc        ),
3740
                .m2_stb_i(      m2s5_stb        ),
3741
                .m2_ack_o(      m2s5_ack        ),
3742
                .m2_err_o(      m2s5_err        ),
3743
                .m2_rty_o(      m2s5_rty        ),
3744
                .m3_data_i(     m3s5_data_o     ),
3745
                .m3_data_o(     m3s5_data_i     ),
3746
                .m3_addr_i(     m3s5_addr       ),
3747
                .m3_sel_i(      m3s5_sel        ),
3748
                .m3_we_i(       m3s5_we ),
3749
                .m3_cyc_i(      m3s5_cyc        ),
3750
                .m3_stb_i(      m3s5_stb        ),
3751
                .m3_ack_o(      m3s5_ack        ),
3752
                .m3_err_o(      m3s5_err        ),
3753
                .m3_rty_o(      m3s5_rty        ),
3754
                .m4_data_i(     m4s5_data_o     ),
3755
                .m4_data_o(     m4s5_data_i     ),
3756
                .m4_addr_i(     m4s5_addr       ),
3757
                .m4_sel_i(      m4s5_sel        ),
3758
                .m4_we_i(       m4s5_we ),
3759
                .m4_cyc_i(      m4s5_cyc        ),
3760
                .m4_stb_i(      m4s5_stb        ),
3761
                .m4_ack_o(      m4s5_ack        ),
3762
                .m4_err_o(      m4s5_err        ),
3763
                .m4_rty_o(      m4s5_rty        ),
3764
                .m5_data_i(     m5s5_data_o     ),
3765
                .m5_data_o(     m5s5_data_i     ),
3766
                .m5_addr_i(     m5s5_addr       ),
3767
                .m5_sel_i(      m5s5_sel        ),
3768
                .m5_we_i(       m5s5_we ),
3769
                .m5_cyc_i(      m5s5_cyc        ),
3770
                .m5_stb_i(      m5s5_stb        ),
3771
                .m5_ack_o(      m5s5_ack        ),
3772
                .m5_err_o(      m5s5_err        ),
3773
                .m5_rty_o(      m5s5_rty        ),
3774
                .m6_data_i(     m6s5_data_o     ),
3775
                .m6_data_o(     m6s5_data_i     ),
3776
                .m6_addr_i(     m6s5_addr       ),
3777
                .m6_sel_i(      m6s5_sel        ),
3778
                .m6_we_i(       m6s5_we ),
3779
                .m6_cyc_i(      m6s5_cyc        ),
3780
                .m6_stb_i(      m6s5_stb        ),
3781
                .m6_ack_o(      m6s5_ack        ),
3782
                .m6_err_o(      m6s5_err        ),
3783
                .m6_rty_o(      m6s5_rty        ),
3784
                .m7_data_i(     m7s5_data_o     ),
3785
                .m7_data_o(     m7s5_data_i     ),
3786
                .m7_addr_i(     m7s5_addr       ),
3787
                .m7_sel_i(      m7s5_sel        ),
3788
                .m7_we_i(       m7s5_we ),
3789
                .m7_cyc_i(      m7s5_cyc        ),
3790
                .m7_stb_i(      m7s5_stb        ),
3791
                .m7_ack_o(      m7s5_ack        ),
3792
                .m7_err_o(      m7s5_err        ),
3793
                .m7_rty_o(      m7s5_rty        )
3794
                );
3795
 
3796
wb_conmax_slave_if #(pri_sel6,aw,dw,sw) s6(
3797
                .clk_i(         clk_i           ),
3798
                .rst_i(         rst_i           ),
3799
                .conf(          conf6           ),
3800
                .wb_data_i(     s6_data_i       ),
3801
                .wb_data_o(     s6_data_o       ),
3802
                .wb_addr_o(     s6_addr_o       ),
3803
                .wb_sel_o(      s6_sel_o        ),
3804
                .wb_we_o(       s6_we_o         ),
3805
                .wb_cyc_o(      s6_cyc_o        ),
3806
                .wb_stb_o(      s6_stb_o        ),
3807
                .wb_ack_i(      s6_ack_i        ),
3808
                .wb_err_i(      s6_err_i        ),
3809
                .wb_rty_i(      s6_rty_i        ),
3810
                .m0_data_i(     m0s6_data_o     ),
3811
                .m0_data_o(     m0s6_data_i     ),
3812
                .m0_addr_i(     m0s6_addr       ),
3813
                .m0_sel_i(      m0s6_sel        ),
3814
                .m0_we_i(       m0s6_we ),
3815
                .m0_cyc_i(      m0s6_cyc        ),
3816
                .m0_stb_i(      m0s6_stb        ),
3817
                .m0_ack_o(      m0s6_ack        ),
3818
                .m0_err_o(      m0s6_err        ),
3819
                .m0_rty_o(      m0s6_rty        ),
3820
                .m1_data_i(     m1s6_data_o     ),
3821
                .m1_data_o(     m1s6_data_i     ),
3822
                .m1_addr_i(     m1s6_addr       ),
3823
                .m1_sel_i(      m1s6_sel        ),
3824
                .m1_we_i(       m1s6_we ),
3825
                .m1_cyc_i(      m1s6_cyc        ),
3826
                .m1_stb_i(      m1s6_stb        ),
3827
                .m1_ack_o(      m1s6_ack        ),
3828
                .m1_err_o(      m1s6_err        ),
3829
                .m1_rty_o(      m1s6_rty        ),
3830
                .m2_data_i(     m2s6_data_o     ),
3831
                .m2_data_o(     m2s6_data_i     ),
3832
                .m2_addr_i(     m2s6_addr       ),
3833
                .m2_sel_i(      m2s6_sel        ),
3834
                .m2_we_i(       m2s6_we ),
3835
                .m2_cyc_i(      m2s6_cyc        ),
3836
                .m2_stb_i(      m2s6_stb        ),
3837
                .m2_ack_o(      m2s6_ack        ),
3838
                .m2_err_o(      m2s6_err        ),
3839
                .m2_rty_o(      m2s6_rty        ),
3840
                .m3_data_i(     m3s6_data_o     ),
3841
                .m3_data_o(     m3s6_data_i     ),
3842
                .m3_addr_i(     m3s6_addr       ),
3843
                .m3_sel_i(      m3s6_sel        ),
3844
                .m3_we_i(       m3s6_we ),
3845
                .m3_cyc_i(      m3s6_cyc        ),
3846
                .m3_stb_i(      m3s6_stb        ),
3847
                .m3_ack_o(      m3s6_ack        ),
3848
                .m3_err_o(      m3s6_err        ),
3849
                .m3_rty_o(      m3s6_rty        ),
3850
                .m4_data_i(     m4s6_data_o     ),
3851
                .m4_data_o(     m4s6_data_i     ),
3852
                .m4_addr_i(     m4s6_addr       ),
3853
                .m4_sel_i(      m4s6_sel        ),
3854
                .m4_we_i(       m4s6_we ),
3855
                .m4_cyc_i(      m4s6_cyc        ),
3856
                .m4_stb_i(      m4s6_stb        ),
3857
                .m4_ack_o(      m4s6_ack        ),
3858
                .m4_err_o(      m4s6_err        ),
3859
                .m4_rty_o(      m4s6_rty        ),
3860
                .m5_data_i(     m5s6_data_o     ),
3861
                .m5_data_o(     m5s6_data_i     ),
3862
                .m5_addr_i(     m5s6_addr       ),
3863
                .m5_sel_i(      m5s6_sel        ),
3864
                .m5_we_i(       m5s6_we ),
3865
                .m5_cyc_i(      m5s6_cyc        ),
3866
                .m5_stb_i(      m5s6_stb        ),
3867
                .m5_ack_o(      m5s6_ack        ),
3868
                .m5_err_o(      m5s6_err        ),
3869
                .m5_rty_o(      m5s6_rty        ),
3870
                .m6_data_i(     m6s6_data_o     ),
3871
                .m6_data_o(     m6s6_data_i     ),
3872
                .m6_addr_i(     m6s6_addr       ),
3873
                .m6_sel_i(      m6s6_sel        ),
3874
                .m6_we_i(       m6s6_we ),
3875
                .m6_cyc_i(      m6s6_cyc        ),
3876
                .m6_stb_i(      m6s6_stb        ),
3877
                .m6_ack_o(      m6s6_ack        ),
3878
                .m6_err_o(      m6s6_err        ),
3879
                .m6_rty_o(      m6s6_rty        ),
3880
                .m7_data_i(     m7s6_data_o     ),
3881
                .m7_data_o(     m7s6_data_i     ),
3882
                .m7_addr_i(     m7s6_addr       ),
3883
                .m7_sel_i(      m7s6_sel        ),
3884
                .m7_we_i(       m7s6_we ),
3885
                .m7_cyc_i(      m7s6_cyc        ),
3886
                .m7_stb_i(      m7s6_stb        ),
3887
                .m7_ack_o(      m7s6_ack        ),
3888
                .m7_err_o(      m7s6_err        ),
3889
                .m7_rty_o(      m7s6_rty        )
3890
                );
3891
 
3892
wb_conmax_slave_if #(pri_sel7,aw,dw,sw) s7(
3893
                .clk_i(         clk_i           ),
3894
                .rst_i(         rst_i           ),
3895
                .conf(          conf7           ),
3896
                .wb_data_i(     s7_data_i       ),
3897
                .wb_data_o(     s7_data_o       ),
3898
                .wb_addr_o(     s7_addr_o       ),
3899
                .wb_sel_o(      s7_sel_o        ),
3900
                .wb_we_o(       s7_we_o         ),
3901
                .wb_cyc_o(      s7_cyc_o        ),
3902
                .wb_stb_o(      s7_stb_o        ),
3903
                .wb_ack_i(      s7_ack_i        ),
3904
                .wb_err_i(      s7_err_i        ),
3905
                .wb_rty_i(      s7_rty_i        ),
3906
                .m0_data_i(     m0s7_data_o     ),
3907
                .m0_data_o(     m0s7_data_i     ),
3908
                .m0_addr_i(     m0s7_addr       ),
3909
                .m0_sel_i(      m0s7_sel        ),
3910
                .m0_we_i(       m0s7_we ),
3911
                .m0_cyc_i(      m0s7_cyc        ),
3912
                .m0_stb_i(      m0s7_stb        ),
3913
                .m0_ack_o(      m0s7_ack        ),
3914
                .m0_err_o(      m0s7_err        ),
3915
                .m0_rty_o(      m0s7_rty        ),
3916
                .m1_data_i(     m1s7_data_o     ),
3917
                .m1_data_o(     m1s7_data_i     ),
3918
                .m1_addr_i(     m1s7_addr       ),
3919
                .m1_sel_i(      m1s7_sel        ),
3920
                .m1_we_i(       m1s7_we ),
3921
                .m1_cyc_i(      m1s7_cyc        ),
3922
                .m1_stb_i(      m1s7_stb        ),
3923
                .m1_ack_o(      m1s7_ack        ),
3924
                .m1_err_o(      m1s7_err        ),
3925
                .m1_rty_o(      m1s7_rty        ),
3926
                .m2_data_i(     m2s7_data_o     ),
3927
                .m2_data_o(     m2s7_data_i     ),
3928
                .m2_addr_i(     m2s7_addr       ),
3929
                .m2_sel_i(      m2s7_sel        ),
3930
                .m2_we_i(       m2s7_we ),
3931
                .m2_cyc_i(      m2s7_cyc        ),
3932
                .m2_stb_i(      m2s7_stb        ),
3933
                .m2_ack_o(      m2s7_ack        ),
3934
                .m2_err_o(      m2s7_err        ),
3935
                .m2_rty_o(      m2s7_rty        ),
3936
                .m3_data_i(     m3s7_data_o     ),
3937
                .m3_data_o(     m3s7_data_i     ),
3938
                .m3_addr_i(     m3s7_addr       ),
3939
                .m3_sel_i(      m3s7_sel        ),
3940
                .m3_we_i(       m3s7_we ),
3941
                .m3_cyc_i(      m3s7_cyc        ),
3942
                .m3_stb_i(      m3s7_stb        ),
3943
                .m3_ack_o(      m3s7_ack        ),
3944
                .m3_err_o(      m3s7_err        ),
3945
                .m3_rty_o(      m3s7_rty        ),
3946
                .m4_data_i(     m4s7_data_o     ),
3947
                .m4_data_o(     m4s7_data_i     ),
3948
                .m4_addr_i(     m4s7_addr       ),
3949
                .m4_sel_i(      m4s7_sel        ),
3950
                .m4_we_i(       m4s7_we ),
3951
                .m4_cyc_i(      m4s7_cyc        ),
3952
                .m4_stb_i(      m4s7_stb        ),
3953
                .m4_ack_o(      m4s7_ack        ),
3954
                .m4_err_o(      m4s7_err        ),
3955
                .m4_rty_o(      m4s7_rty        ),
3956
                .m5_data_i(     m5s7_data_o     ),
3957
                .m5_data_o(     m5s7_data_i     ),
3958
                .m5_addr_i(     m5s7_addr       ),
3959
                .m5_sel_i(      m5s7_sel        ),
3960
                .m5_we_i(       m5s7_we ),
3961
                .m5_cyc_i(      m5s7_cyc        ),
3962
                .m5_stb_i(      m5s7_stb        ),
3963
                .m5_ack_o(      m5s7_ack        ),
3964
                .m5_err_o(      m5s7_err        ),
3965
                .m5_rty_o(      m5s7_rty        ),
3966
                .m6_data_i(     m6s7_data_o     ),
3967
                .m6_data_o(     m6s7_data_i     ),
3968
                .m6_addr_i(     m6s7_addr       ),
3969
                .m6_sel_i(      m6s7_sel        ),
3970
                .m6_we_i(       m6s7_we ),
3971
                .m6_cyc_i(      m6s7_cyc        ),
3972
                .m6_stb_i(      m6s7_stb        ),
3973
                .m6_ack_o(      m6s7_ack        ),
3974
                .m6_err_o(      m6s7_err        ),
3975
                .m6_rty_o(      m6s7_rty        ),
3976
                .m7_data_i(     m7s7_data_o     ),
3977
                .m7_data_o(     m7s7_data_i     ),
3978
                .m7_addr_i(     m7s7_addr       ),
3979
                .m7_sel_i(      m7s7_sel        ),
3980
                .m7_we_i(       m7s7_we ),
3981
                .m7_cyc_i(      m7s7_cyc        ),
3982
                .m7_stb_i(      m7s7_stb        ),
3983
                .m7_ack_o(      m7s7_ack        ),
3984
                .m7_err_o(      m7s7_err        ),
3985
                .m7_rty_o(      m7s7_rty        )
3986
                );
3987
 
3988
wb_conmax_slave_if #(pri_sel8,aw,dw,sw) s8(
3989
                .clk_i(         clk_i           ),
3990
                .rst_i(         rst_i           ),
3991
                .conf(          conf8           ),
3992
                .wb_data_i(     s8_data_i       ),
3993
                .wb_data_o(     s8_data_o       ),
3994
                .wb_addr_o(     s8_addr_o       ),
3995
                .wb_sel_o(      s8_sel_o        ),
3996
                .wb_we_o(       s8_we_o         ),
3997
                .wb_cyc_o(      s8_cyc_o        ),
3998
                .wb_stb_o(      s8_stb_o        ),
3999
                .wb_ack_i(      s8_ack_i        ),
4000
                .wb_err_i(      s8_err_i        ),
4001
                .wb_rty_i(      s8_rty_i        ),
4002
                .m0_data_i(     m0s8_data_o     ),
4003
                .m0_data_o(     m0s8_data_i     ),
4004
                .m0_addr_i(     m0s8_addr       ),
4005
                .m0_sel_i(      m0s8_sel        ),
4006
                .m0_we_i(       m0s8_we ),
4007
                .m0_cyc_i(      m0s8_cyc        ),
4008
                .m0_stb_i(      m0s8_stb        ),
4009
                .m0_ack_o(      m0s8_ack        ),
4010
                .m0_err_o(      m0s8_err        ),
4011
                .m0_rty_o(      m0s8_rty        ),
4012
                .m1_data_i(     m1s8_data_o     ),
4013
                .m1_data_o(     m1s8_data_i     ),
4014
                .m1_addr_i(     m1s8_addr       ),
4015
                .m1_sel_i(      m1s8_sel        ),
4016
                .m1_we_i(       m1s8_we ),
4017
                .m1_cyc_i(      m1s8_cyc        ),
4018
                .m1_stb_i(      m1s8_stb        ),
4019
                .m1_ack_o(      m1s8_ack        ),
4020
                .m1_err_o(      m1s8_err        ),
4021
                .m1_rty_o(      m1s8_rty        ),
4022
                .m2_data_i(     m2s8_data_o     ),
4023
                .m2_data_o(     m2s8_data_i     ),
4024
                .m2_addr_i(     m2s8_addr       ),
4025
                .m2_sel_i(      m2s8_sel        ),
4026
                .m2_we_i(       m2s8_we ),
4027
                .m2_cyc_i(      m2s8_cyc        ),
4028
                .m2_stb_i(      m2s8_stb        ),
4029
                .m2_ack_o(      m2s8_ack        ),
4030
                .m2_err_o(      m2s8_err        ),
4031
                .m2_rty_o(      m2s8_rty        ),
4032
                .m3_data_i(     m3s8_data_o     ),
4033
                .m3_data_o(     m3s8_data_i     ),
4034
                .m3_addr_i(     m3s8_addr       ),
4035
                .m3_sel_i(      m3s8_sel        ),
4036
                .m3_we_i(       m3s8_we ),
4037
                .m3_cyc_i(      m3s8_cyc        ),
4038
                .m3_stb_i(      m3s8_stb        ),
4039
                .m3_ack_o(      m3s8_ack        ),
4040
                .m3_err_o(      m3s8_err        ),
4041
                .m3_rty_o(      m3s8_rty        ),
4042
                .m4_data_i(     m4s8_data_o     ),
4043
                .m4_data_o(     m4s8_data_i     ),
4044
                .m4_addr_i(     m4s8_addr       ),
4045
                .m4_sel_i(      m4s8_sel        ),
4046
                .m4_we_i(       m4s8_we ),
4047
                .m4_cyc_i(      m4s8_cyc        ),
4048
                .m4_stb_i(      m4s8_stb        ),
4049
                .m4_ack_o(      m4s8_ack        ),
4050
                .m4_err_o(      m4s8_err        ),
4051
                .m4_rty_o(      m4s8_rty        ),
4052
                .m5_data_i(     m5s8_data_o     ),
4053
                .m5_data_o(     m5s8_data_i     ),
4054
                .m5_addr_i(     m5s8_addr       ),
4055
                .m5_sel_i(      m5s8_sel        ),
4056
                .m5_we_i(       m5s8_we ),
4057
                .m5_cyc_i(      m5s8_cyc        ),
4058
                .m5_stb_i(      m5s8_stb        ),
4059
                .m5_ack_o(      m5s8_ack        ),
4060
                .m5_err_o(      m5s8_err        ),
4061
                .m5_rty_o(      m5s8_rty        ),
4062
                .m6_data_i(     m6s8_data_o     ),
4063
                .m6_data_o(     m6s8_data_i     ),
4064
                .m6_addr_i(     m6s8_addr       ),
4065
                .m6_sel_i(      m6s8_sel        ),
4066
                .m6_we_i(       m6s8_we ),
4067
                .m6_cyc_i(      m6s8_cyc        ),
4068
                .m6_stb_i(      m6s8_stb        ),
4069
                .m6_ack_o(      m6s8_ack        ),
4070
                .m6_err_o(      m6s8_err        ),
4071
                .m6_rty_o(      m6s8_rty        ),
4072
                .m7_data_i(     m7s8_data_o     ),
4073
                .m7_data_o(     m7s8_data_i     ),
4074
                .m7_addr_i(     m7s8_addr       ),
4075
                .m7_sel_i(      m7s8_sel        ),
4076
                .m7_we_i(       m7s8_we ),
4077
                .m7_cyc_i(      m7s8_cyc        ),
4078
                .m7_stb_i(      m7s8_stb        ),
4079
                .m7_ack_o(      m7s8_ack        ),
4080
                .m7_err_o(      m7s8_err        ),
4081
                .m7_rty_o(      m7s8_rty        )
4082
                );
4083
 
4084
wb_conmax_slave_if #(pri_sel9,aw,dw,sw) s9(
4085
                .clk_i(         clk_i           ),
4086
                .rst_i(         rst_i           ),
4087
                .conf(          conf9           ),
4088
                .wb_data_i(     s9_data_i       ),
4089
                .wb_data_o(     s9_data_o       ),
4090
                .wb_addr_o(     s9_addr_o       ),
4091
                .wb_sel_o(      s9_sel_o        ),
4092
                .wb_we_o(       s9_we_o         ),
4093
                .wb_cyc_o(      s9_cyc_o        ),
4094
                .wb_stb_o(      s9_stb_o        ),
4095
                .wb_ack_i(      s9_ack_i        ),
4096
                .wb_err_i(      s9_err_i        ),
4097
                .wb_rty_i(      s9_rty_i        ),
4098
                .m0_data_i(     m0s9_data_o     ),
4099
                .m0_data_o(     m0s9_data_i     ),
4100
                .m0_addr_i(     m0s9_addr       ),
4101
                .m0_sel_i(      m0s9_sel        ),
4102
                .m0_we_i(       m0s9_we ),
4103
                .m0_cyc_i(      m0s9_cyc        ),
4104
                .m0_stb_i(      m0s9_stb        ),
4105
                .m0_ack_o(      m0s9_ack        ),
4106
                .m0_err_o(      m0s9_err        ),
4107
                .m0_rty_o(      m0s9_rty        ),
4108
                .m1_data_i(     m1s9_data_o     ),
4109
                .m1_data_o(     m1s9_data_i     ),
4110
                .m1_addr_i(     m1s9_addr       ),
4111
                .m1_sel_i(      m1s9_sel        ),
4112
                .m1_we_i(       m1s9_we ),
4113
                .m1_cyc_i(      m1s9_cyc        ),
4114
                .m1_stb_i(      m1s9_stb        ),
4115
                .m1_ack_o(      m1s9_ack        ),
4116
                .m1_err_o(      m1s9_err        ),
4117
                .m1_rty_o(      m1s9_rty        ),
4118
                .m2_data_i(     m2s9_data_o     ),
4119
                .m2_data_o(     m2s9_data_i     ),
4120
                .m2_addr_i(     m2s9_addr       ),
4121
                .m2_sel_i(      m2s9_sel        ),
4122
                .m2_we_i(       m2s9_we ),
4123
                .m2_cyc_i(      m2s9_cyc        ),
4124
                .m2_stb_i(      m2s9_stb        ),
4125
                .m2_ack_o(      m2s9_ack        ),
4126
                .m2_err_o(      m2s9_err        ),
4127
                .m2_rty_o(      m2s9_rty        ),
4128
                .m3_data_i(     m3s9_data_o     ),
4129
                .m3_data_o(     m3s9_data_i     ),
4130
                .m3_addr_i(     m3s9_addr       ),
4131
                .m3_sel_i(      m3s9_sel        ),
4132
                .m3_we_i(       m3s9_we ),
4133
                .m3_cyc_i(      m3s9_cyc        ),
4134
                .m3_stb_i(      m3s9_stb        ),
4135
                .m3_ack_o(      m3s9_ack        ),
4136
                .m3_err_o(      m3s9_err        ),
4137
                .m3_rty_o(      m3s9_rty        ),
4138
                .m4_data_i(     m4s9_data_o     ),
4139
                .m4_data_o(     m4s9_data_i     ),
4140
                .m4_addr_i(     m4s9_addr       ),
4141
                .m4_sel_i(      m4s9_sel        ),
4142
                .m4_we_i(       m4s9_we ),
4143
                .m4_cyc_i(      m4s9_cyc        ),
4144
                .m4_stb_i(      m4s9_stb        ),
4145
                .m4_ack_o(      m4s9_ack        ),
4146
                .m4_err_o(      m4s9_err        ),
4147
                .m4_rty_o(      m4s9_rty        ),
4148
                .m5_data_i(     m5s9_data_o     ),
4149
                .m5_data_o(     m5s9_data_i     ),
4150
                .m5_addr_i(     m5s9_addr       ),
4151
                .m5_sel_i(      m5s9_sel        ),
4152
                .m5_we_i(       m5s9_we ),
4153
                .m5_cyc_i(      m5s9_cyc        ),
4154
                .m5_stb_i(      m5s9_stb        ),
4155
                .m5_ack_o(      m5s9_ack        ),
4156
                .m5_err_o(      m5s9_err        ),
4157
                .m5_rty_o(      m5s9_rty        ),
4158
                .m6_data_i(     m6s9_data_o     ),
4159
                .m6_data_o(     m6s9_data_i     ),
4160
                .m6_addr_i(     m6s9_addr       ),
4161
                .m6_sel_i(      m6s9_sel        ),
4162
                .m6_we_i(       m6s9_we ),
4163
                .m6_cyc_i(      m6s9_cyc        ),
4164
                .m6_stb_i(      m6s9_stb        ),
4165
                .m6_ack_o(      m6s9_ack        ),
4166
                .m6_err_o(      m6s9_err        ),
4167
                .m6_rty_o(      m6s9_rty        ),
4168
                .m7_data_i(     m7s9_data_o     ),
4169
                .m7_data_o(     m7s9_data_i     ),
4170
                .m7_addr_i(     m7s9_addr       ),
4171
                .m7_sel_i(      m7s9_sel        ),
4172
                .m7_we_i(       m7s9_we ),
4173
                .m7_cyc_i(      m7s9_cyc        ),
4174
                .m7_stb_i(      m7s9_stb        ),
4175
                .m7_ack_o(      m7s9_ack        ),
4176
                .m7_err_o(      m7s9_err        ),
4177
                .m7_rty_o(      m7s9_rty        )
4178
                );
4179
 
4180
wb_conmax_slave_if #(pri_sel10,aw,dw,sw) s10(
4181
                .clk_i(         clk_i           ),
4182
                .rst_i(         rst_i           ),
4183
                .conf(          conf10          ),
4184
                .wb_data_i(     s10_data_i      ),
4185
                .wb_data_o(     s10_data_o      ),
4186
                .wb_addr_o(     s10_addr_o      ),
4187
                .wb_sel_o(      s10_sel_o       ),
4188
                .wb_we_o(       s10_we_o        ),
4189
                .wb_cyc_o(      s10_cyc_o       ),
4190
                .wb_stb_o(      s10_stb_o       ),
4191
                .wb_ack_i(      s10_ack_i       ),
4192
                .wb_err_i(      s10_err_i       ),
4193
                .wb_rty_i(      s10_rty_i       ),
4194
                .m0_data_i(     m0s10_data_o    ),
4195
                .m0_data_o(     m0s10_data_i    ),
4196
                .m0_addr_i(     m0s10_addr      ),
4197
                .m0_sel_i(      m0s10_sel       ),
4198
                .m0_we_i(       m0s10_we        ),
4199
                .m0_cyc_i(      m0s10_cyc       ),
4200
                .m0_stb_i(      m0s10_stb       ),
4201
                .m0_ack_o(      m0s10_ack       ),
4202
                .m0_err_o(      m0s10_err       ),
4203
                .m0_rty_o(      m0s10_rty       ),
4204
                .m1_data_i(     m1s10_data_o    ),
4205
                .m1_data_o(     m1s10_data_i    ),
4206
                .m1_addr_i(     m1s10_addr      ),
4207
                .m1_sel_i(      m1s10_sel       ),
4208
                .m1_we_i(       m1s10_we        ),
4209
                .m1_cyc_i(      m1s10_cyc       ),
4210
                .m1_stb_i(      m1s10_stb       ),
4211
                .m1_ack_o(      m1s10_ack       ),
4212
                .m1_err_o(      m1s10_err       ),
4213
                .m1_rty_o(      m1s10_rty       ),
4214
                .m2_data_i(     m2s10_data_o    ),
4215
                .m2_data_o(     m2s10_data_i    ),
4216
                .m2_addr_i(     m2s10_addr      ),
4217
                .m2_sel_i(      m2s10_sel       ),
4218
                .m2_we_i(       m2s10_we        ),
4219
                .m2_cyc_i(      m2s10_cyc       ),
4220
                .m2_stb_i(      m2s10_stb       ),
4221
                .m2_ack_o(      m2s10_ack       ),
4222
                .m2_err_o(      m2s10_err       ),
4223
                .m2_rty_o(      m2s10_rty       ),
4224
                .m3_data_i(     m3s10_data_o    ),
4225
                .m3_data_o(     m3s10_data_i    ),
4226
                .m3_addr_i(     m3s10_addr      ),
4227
                .m3_sel_i(      m3s10_sel       ),
4228
                .m3_we_i(       m3s10_we        ),
4229
                .m3_cyc_i(      m3s10_cyc       ),
4230
                .m3_stb_i(      m3s10_stb       ),
4231
                .m3_ack_o(      m3s10_ack       ),
4232
                .m3_err_o(      m3s10_err       ),
4233
                .m3_rty_o(      m3s10_rty       ),
4234
                .m4_data_i(     m4s10_data_o    ),
4235
                .m4_data_o(     m4s10_data_i    ),
4236
                .m4_addr_i(     m4s10_addr      ),
4237
                .m4_sel_i(      m4s10_sel       ),
4238
                .m4_we_i(       m4s10_we        ),
4239
                .m4_cyc_i(      m4s10_cyc       ),
4240
                .m4_stb_i(      m4s10_stb       ),
4241
                .m4_ack_o(      m4s10_ack       ),
4242
                .m4_err_o(      m4s10_err       ),
4243
                .m4_rty_o(      m4s10_rty       ),
4244
                .m5_data_i(     m5s10_data_o    ),
4245
                .m5_data_o(     m5s10_data_i    ),
4246
                .m5_addr_i(     m5s10_addr      ),
4247
                .m5_sel_i(      m5s10_sel       ),
4248
                .m5_we_i(       m5s10_we        ),
4249
                .m5_cyc_i(      m5s10_cyc       ),
4250
                .m5_stb_i(      m5s10_stb       ),
4251
                .m5_ack_o(      m5s10_ack       ),
4252
                .m5_err_o(      m5s10_err       ),
4253
                .m5_rty_o(      m5s10_rty       ),
4254
                .m6_data_i(     m6s10_data_o    ),
4255
                .m6_data_o(     m6s10_data_i    ),
4256
                .m6_addr_i(     m6s10_addr      ),
4257
                .m6_sel_i(      m6s10_sel       ),
4258
                .m6_we_i(       m6s10_we        ),
4259
                .m6_cyc_i(      m6s10_cyc       ),
4260
                .m6_stb_i(      m6s10_stb       ),
4261
                .m6_ack_o(      m6s10_ack       ),
4262
                .m6_err_o(      m6s10_err       ),
4263
                .m6_rty_o(      m6s10_rty       ),
4264
                .m7_data_i(     m7s10_data_o    ),
4265
                .m7_data_o(     m7s10_data_i    ),
4266
                .m7_addr_i(     m7s10_addr      ),
4267
                .m7_sel_i(      m7s10_sel       ),
4268
                .m7_we_i(       m7s10_we        ),
4269
                .m7_cyc_i(      m7s10_cyc       ),
4270
                .m7_stb_i(      m7s10_stb       ),
4271
                .m7_ack_o(      m7s10_ack       ),
4272
                .m7_err_o(      m7s10_err       ),
4273
                .m7_rty_o(      m7s10_rty       )
4274
                );
4275
 
4276
wb_conmax_slave_if #(pri_sel11,aw,dw,sw) s11(
4277
                .clk_i(         clk_i           ),
4278
                .rst_i(         rst_i           ),
4279
                .conf(          conf11          ),
4280
                .wb_data_i(     s11_data_i      ),
4281
                .wb_data_o(     s11_data_o      ),
4282
                .wb_addr_o(     s11_addr_o      ),
4283
                .wb_sel_o(      s11_sel_o       ),
4284
                .wb_we_o(       s11_we_o        ),
4285
                .wb_cyc_o(      s11_cyc_o       ),
4286
                .wb_stb_o(      s11_stb_o       ),
4287
                .wb_ack_i(      s11_ack_i       ),
4288
                .wb_err_i(      s11_err_i       ),
4289
                .wb_rty_i(      s11_rty_i       ),
4290
                .m0_data_i(     m0s11_data_o    ),
4291
                .m0_data_o(     m0s11_data_i    ),
4292
                .m0_addr_i(     m0s11_addr      ),
4293
                .m0_sel_i(      m0s11_sel       ),
4294
                .m0_we_i(       m0s11_we        ),
4295
                .m0_cyc_i(      m0s11_cyc       ),
4296
                .m0_stb_i(      m0s11_stb       ),
4297
                .m0_ack_o(      m0s11_ack       ),
4298
                .m0_err_o(      m0s11_err       ),
4299
                .m0_rty_o(      m0s11_rty       ),
4300
                .m1_data_i(     m1s11_data_o    ),
4301
                .m1_data_o(     m1s11_data_i    ),
4302
                .m1_addr_i(     m1s11_addr      ),
4303
                .m1_sel_i(      m1s11_sel       ),
4304
                .m1_we_i(       m1s11_we        ),
4305
                .m1_cyc_i(      m1s11_cyc       ),
4306
                .m1_stb_i(      m1s11_stb       ),
4307
                .m1_ack_o(      m1s11_ack       ),
4308
                .m1_err_o(      m1s11_err       ),
4309
                .m1_rty_o(      m1s11_rty       ),
4310
                .m2_data_i(     m2s11_data_o    ),
4311
                .m2_data_o(     m2s11_data_i    ),
4312
                .m2_addr_i(     m2s11_addr      ),
4313
                .m2_sel_i(      m2s11_sel       ),
4314
                .m2_we_i(       m2s11_we        ),
4315
                .m2_cyc_i(      m2s11_cyc       ),
4316
                .m2_stb_i(      m2s11_stb       ),
4317
                .m2_ack_o(      m2s11_ack       ),
4318
                .m2_err_o(      m2s11_err       ),
4319
                .m2_rty_o(      m2s11_rty       ),
4320
                .m3_data_i(     m3s11_data_o    ),
4321
                .m3_data_o(     m3s11_data_i    ),
4322
                .m3_addr_i(     m3s11_addr      ),
4323
                .m3_sel_i(      m3s11_sel       ),
4324
                .m3_we_i(       m3s11_we        ),
4325
                .m3_cyc_i(      m3s11_cyc       ),
4326
                .m3_stb_i(      m3s11_stb       ),
4327
                .m3_ack_o(      m3s11_ack       ),
4328
                .m3_err_o(      m3s11_err       ),
4329
                .m3_rty_o(      m3s11_rty       ),
4330
                .m4_data_i(     m4s11_data_o    ),
4331
                .m4_data_o(     m4s11_data_i    ),
4332
                .m4_addr_i(     m4s11_addr      ),
4333
                .m4_sel_i(      m4s11_sel       ),
4334
                .m4_we_i(       m4s11_we        ),
4335
                .m4_cyc_i(      m4s11_cyc       ),
4336
                .m4_stb_i(      m4s11_stb       ),
4337
                .m4_ack_o(      m4s11_ack       ),
4338
                .m4_err_o(      m4s11_err       ),
4339
                .m4_rty_o(      m4s11_rty       ),
4340
                .m5_data_i(     m5s11_data_o    ),
4341
                .m5_data_o(     m5s11_data_i    ),
4342
                .m5_addr_i(     m5s11_addr      ),
4343
                .m5_sel_i(      m5s11_sel       ),
4344
                .m5_we_i(       m5s11_we        ),
4345
                .m5_cyc_i(      m5s11_cyc       ),
4346
                .m5_stb_i(      m5s11_stb       ),
4347
                .m5_ack_o(      m5s11_ack       ),
4348
                .m5_err_o(      m5s11_err       ),
4349
                .m5_rty_o(      m5s11_rty       ),
4350
                .m6_data_i(     m6s11_data_o    ),
4351
                .m6_data_o(     m6s11_data_i    ),
4352
                .m6_addr_i(     m6s11_addr      ),
4353
                .m6_sel_i(      m6s11_sel       ),
4354
                .m6_we_i(       m6s11_we        ),
4355
                .m6_cyc_i(      m6s11_cyc       ),
4356
                .m6_stb_i(      m6s11_stb       ),
4357
                .m6_ack_o(      m6s11_ack       ),
4358
                .m6_err_o(      m6s11_err       ),
4359
                .m6_rty_o(      m6s11_rty       ),
4360
                .m7_data_i(     m7s11_data_o    ),
4361
                .m7_data_o(     m7s11_data_i    ),
4362
                .m7_addr_i(     m7s11_addr      ),
4363
                .m7_sel_i(      m7s11_sel       ),
4364
                .m7_we_i(       m7s11_we        ),
4365
                .m7_cyc_i(      m7s11_cyc       ),
4366
                .m7_stb_i(      m7s11_stb       ),
4367
                .m7_ack_o(      m7s11_ack       ),
4368
                .m7_err_o(      m7s11_err       ),
4369
                .m7_rty_o(      m7s11_rty       )
4370
                );
4371
 
4372
wb_conmax_slave_if #(pri_sel12,aw,dw,sw) s12(
4373
                .clk_i(         clk_i           ),
4374
                .rst_i(         rst_i           ),
4375
                .conf(          conf12          ),
4376
                .wb_data_i(     s12_data_i      ),
4377
                .wb_data_o(     s12_data_o      ),
4378
                .wb_addr_o(     s12_addr_o      ),
4379
                .wb_sel_o(      s12_sel_o       ),
4380
                .wb_we_o(       s12_we_o        ),
4381
                .wb_cyc_o(      s12_cyc_o       ),
4382
                .wb_stb_o(      s12_stb_o       ),
4383
                .wb_ack_i(      s12_ack_i       ),
4384
                .wb_err_i(      s12_err_i       ),
4385
                .wb_rty_i(      s12_rty_i       ),
4386
                .m0_data_i(     m0s12_data_o    ),
4387
                .m0_data_o(     m0s12_data_i    ),
4388
                .m0_addr_i(     m0s12_addr      ),
4389
                .m0_sel_i(      m0s12_sel       ),
4390
                .m0_we_i(       m0s12_we        ),
4391
                .m0_cyc_i(      m0s12_cyc       ),
4392
                .m0_stb_i(      m0s12_stb       ),
4393
                .m0_ack_o(      m0s12_ack       ),
4394
                .m0_err_o(      m0s12_err       ),
4395
                .m0_rty_o(      m0s12_rty       ),
4396
                .m1_data_i(     m1s12_data_o    ),
4397
                .m1_data_o(     m1s12_data_i    ),
4398
                .m1_addr_i(     m1s12_addr      ),
4399
                .m1_sel_i(      m1s12_sel       ),
4400
                .m1_we_i(       m1s12_we        ),
4401
                .m1_cyc_i(      m1s12_cyc       ),
4402
                .m1_stb_i(      m1s12_stb       ),
4403
                .m1_ack_o(      m1s12_ack       ),
4404
                .m1_err_o(      m1s12_err       ),
4405
                .m1_rty_o(      m1s12_rty       ),
4406
                .m2_data_i(     m2s12_data_o    ),
4407
                .m2_data_o(     m2s12_data_i    ),
4408
                .m2_addr_i(     m2s12_addr      ),
4409
                .m2_sel_i(      m2s12_sel       ),
4410
                .m2_we_i(       m2s12_we        ),
4411
                .m2_cyc_i(      m2s12_cyc       ),
4412
                .m2_stb_i(      m2s12_stb       ),
4413
                .m2_ack_o(      m2s12_ack       ),
4414
                .m2_err_o(      m2s12_err       ),
4415
                .m2_rty_o(      m2s12_rty       ),
4416
                .m3_data_i(     m3s12_data_o    ),
4417
                .m3_data_o(     m3s12_data_i    ),
4418
                .m3_addr_i(     m3s12_addr      ),
4419
                .m3_sel_i(      m3s12_sel       ),
4420
                .m3_we_i(       m3s12_we        ),
4421
                .m3_cyc_i(      m3s12_cyc       ),
4422
                .m3_stb_i(      m3s12_stb       ),
4423
                .m3_ack_o(      m3s12_ack       ),
4424
                .m3_err_o(      m3s12_err       ),
4425
                .m3_rty_o(      m3s12_rty       ),
4426
                .m4_data_i(     m4s12_data_o    ),
4427
                .m4_data_o(     m4s12_data_i    ),
4428
                .m4_addr_i(     m4s12_addr      ),
4429
                .m4_sel_i(      m4s12_sel       ),
4430
                .m4_we_i(       m4s12_we        ),
4431
                .m4_cyc_i(      m4s12_cyc       ),
4432
                .m4_stb_i(      m4s12_stb       ),
4433
                .m4_ack_o(      m4s12_ack       ),
4434
                .m4_err_o(      m4s12_err       ),
4435
                .m4_rty_o(      m4s12_rty       ),
4436
                .m5_data_i(     m5s12_data_o    ),
4437
                .m5_data_o(     m5s12_data_i    ),
4438
                .m5_addr_i(     m5s12_addr      ),
4439
                .m5_sel_i(      m5s12_sel       ),
4440
                .m5_we_i(       m5s12_we        ),
4441
                .m5_cyc_i(      m5s12_cyc       ),
4442
                .m5_stb_i(      m5s12_stb       ),
4443
                .m5_ack_o(      m5s12_ack       ),
4444
                .m5_err_o(      m5s12_err       ),
4445
                .m5_rty_o(      m5s12_rty       ),
4446
                .m6_data_i(     m6s12_data_o    ),
4447
                .m6_data_o(     m6s12_data_i    ),
4448
                .m6_addr_i(     m6s12_addr      ),
4449
                .m6_sel_i(      m6s12_sel       ),
4450
                .m6_we_i(       m6s12_we        ),
4451
                .m6_cyc_i(      m6s12_cyc       ),
4452
                .m6_stb_i(      m6s12_stb       ),
4453
                .m6_ack_o(      m6s12_ack       ),
4454
                .m6_err_o(      m6s12_err       ),
4455
                .m6_rty_o(      m6s12_rty       ),
4456
                .m7_data_i(     m7s12_data_o    ),
4457
                .m7_data_o(     m7s12_data_i    ),
4458
                .m7_addr_i(     m7s12_addr      ),
4459
                .m7_sel_i(      m7s12_sel       ),
4460
                .m7_we_i(       m7s12_we        ),
4461
                .m7_cyc_i(      m7s12_cyc       ),
4462
                .m7_stb_i(      m7s12_stb       ),
4463
                .m7_ack_o(      m7s12_ack       ),
4464
                .m7_err_o(      m7s12_err       ),
4465
                .m7_rty_o(      m7s12_rty       )
4466
                );
4467
 
4468
wb_conmax_slave_if #(pri_sel13,aw,dw,sw) s13(
4469
                .clk_i(         clk_i           ),
4470
                .rst_i(         rst_i           ),
4471
                .conf(          conf13          ),
4472
                .wb_data_i(     s13_data_i      ),
4473
                .wb_data_o(     s13_data_o      ),
4474
                .wb_addr_o(     s13_addr_o      ),
4475
                .wb_sel_o(      s13_sel_o       ),
4476
                .wb_we_o(       s13_we_o        ),
4477
                .wb_cyc_o(      s13_cyc_o       ),
4478
                .wb_stb_o(      s13_stb_o       ),
4479
                .wb_ack_i(      s13_ack_i       ),
4480
                .wb_err_i(      s13_err_i       ),
4481
                .wb_rty_i(      s13_rty_i       ),
4482
                .m0_data_i(     m0s13_data_o    ),
4483
                .m0_data_o(     m0s13_data_i    ),
4484
                .m0_addr_i(     m0s13_addr      ),
4485
                .m0_sel_i(      m0s13_sel       ),
4486
                .m0_we_i(       m0s13_we        ),
4487
                .m0_cyc_i(      m0s13_cyc       ),
4488
                .m0_stb_i(      m0s13_stb       ),
4489
                .m0_ack_o(      m0s13_ack       ),
4490
                .m0_err_o(      m0s13_err       ),
4491
                .m0_rty_o(      m0s13_rty       ),
4492
                .m1_data_i(     m1s13_data_o    ),
4493
                .m1_data_o(     m1s13_data_i    ),
4494
                .m1_addr_i(     m1s13_addr      ),
4495
                .m1_sel_i(      m1s13_sel       ),
4496
                .m1_we_i(       m1s13_we        ),
4497
                .m1_cyc_i(      m1s13_cyc       ),
4498
                .m1_stb_i(      m1s13_stb       ),
4499
                .m1_ack_o(      m1s13_ack       ),
4500
                .m1_err_o(      m1s13_err       ),
4501
                .m1_rty_o(      m1s13_rty       ),
4502
                .m2_data_i(     m2s13_data_o    ),
4503
                .m2_data_o(     m2s13_data_i    ),
4504
                .m2_addr_i(     m2s13_addr      ),
4505
                .m2_sel_i(      m2s13_sel       ),
4506
                .m2_we_i(       m2s13_we        ),
4507
                .m2_cyc_i(      m2s13_cyc       ),
4508
                .m2_stb_i(      m2s13_stb       ),
4509
                .m2_ack_o(      m2s13_ack       ),
4510
                .m2_err_o(      m2s13_err       ),
4511
                .m2_rty_o(      m2s13_rty       ),
4512
                .m3_data_i(     m3s13_data_o    ),
4513
                .m3_data_o(     m3s13_data_i    ),
4514
                .m3_addr_i(     m3s13_addr      ),
4515
                .m3_sel_i(      m3s13_sel       ),
4516
                .m3_we_i(       m3s13_we        ),
4517
                .m3_cyc_i(      m3s13_cyc       ),
4518
                .m3_stb_i(      m3s13_stb       ),
4519
                .m3_ack_o(      m3s13_ack       ),
4520
                .m3_err_o(      m3s13_err       ),
4521
                .m3_rty_o(      m3s13_rty       ),
4522
                .m4_data_i(     m4s13_data_o    ),
4523
                .m4_data_o(     m4s13_data_i    ),
4524
                .m4_addr_i(     m4s13_addr      ),
4525
                .m4_sel_i(      m4s13_sel       ),
4526
                .m4_we_i(       m4s13_we        ),
4527
                .m4_cyc_i(      m4s13_cyc       ),
4528
                .m4_stb_i(      m4s13_stb       ),
4529
                .m4_ack_o(      m4s13_ack       ),
4530
                .m4_err_o(      m4s13_err       ),
4531
                .m4_rty_o(      m4s13_rty       ),
4532
                .m5_data_i(     m5s13_data_o    ),
4533
                .m5_data_o(     m5s13_data_i    ),
4534
                .m5_addr_i(     m5s13_addr      ),
4535
                .m5_sel_i(      m5s13_sel       ),
4536
                .m5_we_i(       m5s13_we        ),
4537
                .m5_cyc_i(      m5s13_cyc       ),
4538
                .m5_stb_i(      m5s13_stb       ),
4539
                .m5_ack_o(      m5s13_ack       ),
4540
                .m5_err_o(      m5s13_err       ),
4541
                .m5_rty_o(      m5s13_rty       ),
4542
                .m6_data_i(     m6s13_data_o    ),
4543
                .m6_data_o(     m6s13_data_i    ),
4544
                .m6_addr_i(     m6s13_addr      ),
4545
                .m6_sel_i(      m6s13_sel       ),
4546
                .m6_we_i(       m6s13_we        ),
4547
                .m6_cyc_i(      m6s13_cyc       ),
4548
                .m6_stb_i(      m6s13_stb       ),
4549
                .m6_ack_o(      m6s13_ack       ),
4550
                .m6_err_o(      m6s13_err       ),
4551
                .m6_rty_o(      m6s13_rty       ),
4552
                .m7_data_i(     m7s13_data_o    ),
4553
                .m7_data_o(     m7s13_data_i    ),
4554
                .m7_addr_i(     m7s13_addr      ),
4555
                .m7_sel_i(      m7s13_sel       ),
4556
                .m7_we_i(       m7s13_we        ),
4557
                .m7_cyc_i(      m7s13_cyc       ),
4558
                .m7_stb_i(      m7s13_stb       ),
4559
                .m7_ack_o(      m7s13_ack       ),
4560
                .m7_err_o(      m7s13_err       ),
4561
                .m7_rty_o(      m7s13_rty       )
4562
                );
4563
 
4564
wb_conmax_slave_if #(pri_sel14,aw,dw,sw) s14(
4565
                .clk_i(         clk_i           ),
4566
                .rst_i(         rst_i           ),
4567
                .conf(          conf14          ),
4568
                .wb_data_i(     s14_data_i      ),
4569
                .wb_data_o(     s14_data_o      ),
4570
                .wb_addr_o(     s14_addr_o      ),
4571
                .wb_sel_o(      s14_sel_o       ),
4572
                .wb_we_o(       s14_we_o        ),
4573
                .wb_cyc_o(      s14_cyc_o       ),
4574
                .wb_stb_o(      s14_stb_o       ),
4575
                .wb_ack_i(      s14_ack_i       ),
4576
                .wb_err_i(      s14_err_i       ),
4577
                .wb_rty_i(      s14_rty_i       ),
4578
                .m0_data_i(     m0s14_data_o    ),
4579
                .m0_data_o(     m0s14_data_i    ),
4580
                .m0_addr_i(     m0s14_addr      ),
4581
                .m0_sel_i(      m0s14_sel       ),
4582
                .m0_we_i(       m0s14_we        ),
4583
                .m0_cyc_i(      m0s14_cyc       ),
4584
                .m0_stb_i(      m0s14_stb       ),
4585
                .m0_ack_o(      m0s14_ack       ),
4586
                .m0_err_o(      m0s14_err       ),
4587
                .m0_rty_o(      m0s14_rty       ),
4588
                .m1_data_i(     m1s14_data_o    ),
4589
                .m1_data_o(     m1s14_data_i    ),
4590
                .m1_addr_i(     m1s14_addr      ),
4591
                .m1_sel_i(      m1s14_sel       ),
4592
                .m1_we_i(       m1s14_we        ),
4593
                .m1_cyc_i(      m1s14_cyc       ),
4594
                .m1_stb_i(      m1s14_stb       ),
4595
                .m1_ack_o(      m1s14_ack       ),
4596
                .m1_err_o(      m1s14_err       ),
4597
                .m1_rty_o(      m1s14_rty       ),
4598
                .m2_data_i(     m2s14_data_o    ),
4599
                .m2_data_o(     m2s14_data_i    ),
4600
                .m2_addr_i(     m2s14_addr      ),
4601
                .m2_sel_i(      m2s14_sel       ),
4602
                .m2_we_i(       m2s14_we        ),
4603
                .m2_cyc_i(      m2s14_cyc       ),
4604
                .m2_stb_i(      m2s14_stb       ),
4605
                .m2_ack_o(      m2s14_ack       ),
4606
                .m2_err_o(      m2s14_err       ),
4607
                .m2_rty_o(      m2s14_rty       ),
4608
                .m3_data_i(     m3s14_data_o    ),
4609
                .m3_data_o(     m3s14_data_i    ),
4610
                .m3_addr_i(     m3s14_addr      ),
4611
                .m3_sel_i(      m3s14_sel       ),
4612
                .m3_we_i(       m3s14_we        ),
4613
                .m3_cyc_i(      m3s14_cyc       ),
4614
                .m3_stb_i(      m3s14_stb       ),
4615
                .m3_ack_o(      m3s14_ack       ),
4616
                .m3_err_o(      m3s14_err       ),
4617
                .m3_rty_o(      m3s14_rty       ),
4618
                .m4_data_i(     m4s14_data_o    ),
4619
                .m4_data_o(     m4s14_data_i    ),
4620
                .m4_addr_i(     m4s14_addr      ),
4621
                .m4_sel_i(      m4s14_sel       ),
4622
                .m4_we_i(       m4s14_we        ),
4623
                .m4_cyc_i(      m4s14_cyc       ),
4624
                .m4_stb_i(      m4s14_stb       ),
4625
                .m4_ack_o(      m4s14_ack       ),
4626
                .m4_err_o(      m4s14_err       ),
4627
                .m4_rty_o(      m4s14_rty       ),
4628
                .m5_data_i(     m5s14_data_o    ),
4629
                .m5_data_o(     m5s14_data_i    ),
4630
                .m5_addr_i(     m5s14_addr      ),
4631
                .m5_sel_i(      m5s14_sel       ),
4632
                .m5_we_i(       m5s14_we        ),
4633
                .m5_cyc_i(      m5s14_cyc       ),
4634
                .m5_stb_i(      m5s14_stb       ),
4635
                .m5_ack_o(      m5s14_ack       ),
4636
                .m5_err_o(      m5s14_err       ),
4637
                .m5_rty_o(      m5s14_rty       ),
4638
                .m6_data_i(     m6s14_data_o    ),
4639
                .m6_data_o(     m6s14_data_i    ),
4640
                .m6_addr_i(     m6s14_addr      ),
4641
                .m6_sel_i(      m6s14_sel       ),
4642
                .m6_we_i(       m6s14_we        ),
4643
                .m6_cyc_i(      m6s14_cyc       ),
4644
                .m6_stb_i(      m6s14_stb       ),
4645
                .m6_ack_o(      m6s14_ack       ),
4646
                .m6_err_o(      m6s14_err       ),
4647
                .m6_rty_o(      m6s14_rty       ),
4648
                .m7_data_i(     m7s14_data_o    ),
4649
                .m7_data_o(     m7s14_data_i    ),
4650
                .m7_addr_i(     m7s14_addr      ),
4651
                .m7_sel_i(      m7s14_sel       ),
4652
                .m7_we_i(       m7s14_we        ),
4653
                .m7_cyc_i(      m7s14_cyc       ),
4654
                .m7_stb_i(      m7s14_stb       ),
4655
                .m7_ack_o(      m7s14_ack       ),
4656
                .m7_err_o(      m7s14_err       ),
4657
                .m7_rty_o(      m7s14_rty       )
4658
                );
4659
 
4660
wb_conmax_slave_if #(pri_sel15,aw,dw,sw) s15(
4661
                .clk_i(         clk_i           ),
4662
                .rst_i(         rst_i           ),
4663
                .conf(          conf15          ),
4664
                .wb_data_i(     i_s15_data_i    ),
4665
                .wb_data_o(     i_s15_data_o    ),
4666
                .wb_addr_o(     i_s15_addr_o    ),
4667
                .wb_sel_o(      i_s15_sel_o     ),
4668
                .wb_we_o(       i_s15_we_o      ),
4669
                .wb_cyc_o(      i_s15_cyc_o     ),
4670
                .wb_stb_o(      i_s15_stb_o     ),
4671
                .wb_ack_i(      i_s15_ack_i     ),
4672
                .wb_err_i(      i_s15_err_i     ),
4673
                .wb_rty_i(      i_s15_rty_i     ),
4674
                .m0_data_i(     m0s15_data_o    ),
4675
                .m0_data_o(     m0s15_data_i    ),
4676
                .m0_addr_i(     m0s15_addr      ),
4677
                .m0_sel_i(      m0s15_sel       ),
4678
                .m0_we_i(       m0s15_we        ),
4679
                .m0_cyc_i(      m0s15_cyc       ),
4680
                .m0_stb_i(      m0s15_stb       ),
4681
                .m0_ack_o(      m0s15_ack       ),
4682
                .m0_err_o(      m0s15_err       ),
4683
                .m0_rty_o(      m0s15_rty       ),
4684
                .m1_data_i(     m1s15_data_o    ),
4685
                .m1_data_o(     m1s15_data_i    ),
4686
                .m1_addr_i(     m1s15_addr      ),
4687
                .m1_sel_i(      m1s15_sel       ),
4688
                .m1_we_i(       m1s15_we        ),
4689
                .m1_cyc_i(      m1s15_cyc       ),
4690
                .m1_stb_i(      m1s15_stb       ),
4691
                .m1_ack_o(      m1s15_ack       ),
4692
                .m1_err_o(      m1s15_err       ),
4693
                .m1_rty_o(      m1s15_rty       ),
4694
                .m2_data_i(     m2s15_data_o    ),
4695
                .m2_data_o(     m2s15_data_i    ),
4696
                .m2_addr_i(     m2s15_addr      ),
4697
                .m2_sel_i(      m2s15_sel       ),
4698
                .m2_we_i(       m2s15_we        ),
4699
                .m2_cyc_i(      m2s15_cyc       ),
4700
                .m2_stb_i(      m2s15_stb       ),
4701
                .m2_ack_o(      m2s15_ack       ),
4702
                .m2_err_o(      m2s15_err       ),
4703
                .m2_rty_o(      m2s15_rty       ),
4704
                .m3_data_i(     m3s15_data_o    ),
4705
                .m3_data_o(     m3s15_data_i    ),
4706
                .m3_addr_i(     m3s15_addr      ),
4707
                .m3_sel_i(      m3s15_sel       ),
4708
                .m3_we_i(       m3s15_we        ),
4709
                .m3_cyc_i(      m3s15_cyc       ),
4710
                .m3_stb_i(      m3s15_stb       ),
4711
                .m3_ack_o(      m3s15_ack       ),
4712
                .m3_err_o(      m3s15_err       ),
4713
                .m3_rty_o(      m3s15_rty       ),
4714
                .m4_data_i(     m4s15_data_o    ),
4715
                .m4_data_o(     m4s15_data_i    ),
4716
                .m4_addr_i(     m4s15_addr      ),
4717
                .m4_sel_i(      m4s15_sel       ),
4718
                .m4_we_i(       m4s15_we        ),
4719
                .m4_cyc_i(      m4s15_cyc       ),
4720
                .m4_stb_i(      m4s15_stb       ),
4721
                .m4_ack_o(      m4s15_ack       ),
4722
                .m4_err_o(      m4s15_err       ),
4723
                .m4_rty_o(      m4s15_rty       ),
4724
                .m5_data_i(     m5s15_data_o    ),
4725
                .m5_data_o(     m5s15_data_i    ),
4726
                .m5_addr_i(     m5s15_addr      ),
4727
                .m5_sel_i(      m5s15_sel       ),
4728
                .m5_we_i(       m5s15_we        ),
4729
                .m5_cyc_i(      m5s15_cyc       ),
4730
                .m5_stb_i(      m5s15_stb       ),
4731
                .m5_ack_o(      m5s15_ack       ),
4732
                .m5_err_o(      m5s15_err       ),
4733
                .m5_rty_o(      m5s15_rty       ),
4734
                .m6_data_i(     m6s15_data_o    ),
4735
                .m6_data_o(     m6s15_data_i    ),
4736
                .m6_addr_i(     m6s15_addr      ),
4737
                .m6_sel_i(      m6s15_sel       ),
4738
                .m6_we_i(       m6s15_we        ),
4739
                .m6_cyc_i(      m6s15_cyc       ),
4740
                .m6_stb_i(      m6s15_stb       ),
4741
                .m6_ack_o(      m6s15_ack       ),
4742
                .m6_err_o(      m6s15_err       ),
4743
                .m6_rty_o(      m6s15_rty       ),
4744
                .m7_data_i(     m7s15_data_o    ),
4745
                .m7_data_o(     m7s15_data_i    ),
4746
                .m7_addr_i(     m7s15_addr      ),
4747
                .m7_sel_i(      m7s15_sel       ),
4748
                .m7_we_i(       m7s15_we        ),
4749
                .m7_cyc_i(      m7s15_cyc       ),
4750
                .m7_stb_i(      m7s15_stb       ),
4751
                .m7_ack_o(      m7s15_ack       ),
4752
                .m7_err_o(      m7s15_err       ),
4753
                .m7_rty_o(      m7s15_rty       )
4754
                );
4755
 
4756
wb_conmax_rf #(rf_addr,aw,dw,sw) rf(
4757
                .clk_i(         clk_i           ),
4758
                .rst_i(         rst_i           ),
4759
                .i_wb_data_i(   i_s15_data_o    ),
4760
                .i_wb_data_o(   i_s15_data_i    ),
4761
                .i_wb_addr_i(   i_s15_addr_o    ),
4762
                .i_wb_sel_i(    i_s15_sel_o     ),
4763
                .i_wb_we_i(     i_s15_we_o      ),
4764
                .i_wb_cyc_i(    i_s15_cyc_o     ),
4765
                .i_wb_stb_i(    i_s15_stb_o     ),
4766
                .i_wb_ack_o(    i_s15_ack_i     ),
4767
                .i_wb_err_o(    i_s15_err_i     ),
4768
                .i_wb_rty_o(    i_s15_rty_i     ),
4769
 
4770
                .e_wb_data_i(   s15_data_i      ),
4771
                .e_wb_data_o(   s15_data_o      ),
4772
                .e_wb_addr_o(   s15_addr_o      ),
4773
                .e_wb_sel_o(    s15_sel_o       ),
4774
                .e_wb_we_o(     s15_we_o        ),
4775
                .e_wb_cyc_o(    s15_cyc_o       ),
4776
                .e_wb_stb_o(    s15_stb_o       ),
4777
                .e_wb_ack_i(    s15_ack_i       ),
4778
                .e_wb_err_i(    s15_err_i       ),
4779
                .e_wb_rty_i(    s15_rty_i       ),
4780
 
4781
                .conf0(         conf0           ),
4782
                .conf1(         conf1           ),
4783
                .conf2(         conf2           ),
4784
                .conf3(         conf3           ),
4785
                .conf4(         conf4           ),
4786
                .conf5(         conf5           ),
4787
                .conf6(         conf6           ),
4788
                .conf7(         conf7           ),
4789
                .conf8(         conf8           ),
4790
                .conf9(         conf9           ),
4791
                .conf10(        conf10          ),
4792
                .conf11(        conf11          ),
4793
                .conf12(        conf12          ),
4794
                .conf13(        conf13          ),
4795
                .conf14(        conf14          ),
4796
                .conf15(        conf15          )
4797
                );
4798
endmodule
4799
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.