OpenCores
URL https://opencores.org/ocsvn/wdsp/wdsp/trunk

Subversion Repositories wdsp

[/] [wdsp/] [trunk/] [rtl/] [verilog/] [minsoc/] [wb_conmax/] [tags/] [start/] [sim/] [rtl_sim/] [bin/] [Makefile] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 parrado
 
2
all:    sim
3
 
4
SHELL = /bin/sh
5
MS=-s
6
 
7
##########################################################################
8
#
9
# DUT Sources
10
#
11
##########################################################################
12
DUT_SRC_DIR=../../../rtl/verilog
13
_TARGETS_=      $(DUT_SRC_DIR)/wb_conmax_top.v          \
14
                $(DUT_SRC_DIR)/wb_conmax_slave_if.v     \
15
                $(DUT_SRC_DIR)/wb_conmax_master_if.v    \
16
                $(DUT_SRC_DIR)/wb_conmax_msel.v         \
17
                $(DUT_SRC_DIR)/wb_conmax_arb.v          \
18
                $(DUT_SRC_DIR)/wb_conmax_pri_enc.v      \
19
                $(DUT_SRC_DIR)/wb_conmax_pri_dec.v      \
20
                $(DUT_SRC_DIR)/wb_conmax_rf.v
21
 
22
 
23
##########################################################################
24
#
25
# Test Bench Sources
26
#
27
##########################################################################
28
_TOP_=test
29
TB_SRC_DIR=../../../bench/verilog
30
_TB_=           $(TB_SRC_DIR)/test_bench_top.v          \
31
                $(TB_SRC_DIR)/wb_slv_model.v            \
32
                $(TB_SRC_DIR)/wb_mast_model.v
33
 
34
##########################################################################
35
#
36
# Misc Variables
37
#
38
##########################################################################
39
 
40
INCDIR="-INCDIR ./$(DUT_SRC_DIR)/ -INCDIR ./$(TB_SRC_DIR)/"
41
LOGF=-LOGFILE .nclog
42
NCCOMMON=-CDSLIB ncwork/cds.lib -HDLVAR ncwork/hdl.var -NOCOPYRIGHT
43
UMC_LIB=/tools/dc_libraries/virtual_silicon/umc_lib.v
44
GATE_NETLIST = ../../../syn/out/wb_dma_top_ps.v
45
 
46
##########################################################################
47
#
48
# Make Targets
49
#
50
##########################################################################
51
 
52
ss:
53
        signalscan -do waves/waves.do -waves waves/waves.trn &
54
 
55
simxl:
56
        verilog +incdir+$(DUT_SRC_DIR) +incdir+$(TB_SRC_DIR)    \
57
        $(_TARGETS_) $(_TB_)
58
 
59
simw:
60
        @$(MAKE) $(MS) sim ACCESS="-ACCESS +r" WAVES="-DEFINE WAVES"
61
 
62
sim:
63
        @echo ""
64
        @echo "----- Running NCVLOG ... ----------"
65
        @$(MAKE) $(MS) vlog                             \
66
                TARGETS="$(_TARGETS_)"                  \
67
                TB="$(_TB_)"                            \
68
                INCDIR=$(INCDIR)                        \
69
                WAVES="$(WAVES)"
70
        @echo ""
71
        @echo "----- Running NCELAB ... ----------"
72
        @$(MAKE) $(MS) elab                             \
73
                ACCESS="$(ACCESS)" TOP=$(_TOP_)
74
        @echo ""
75
        @echo "----- Running NCSIM ... ----------"
76
        @$(MAKE) $(MS) ncsim TOP=$(_TOP_)
77
        @echo ""
78
 
79
gatew:
80
        @$(MAKE) -s gate ACCESS="-ACCESS +r " WAVES="-DEFINE WAVES"
81
 
82
gate:
83
        @echo ""
84
        @echo "----- Running NCVLOG ... ----------"
85
        @$(MAKE) $(MS) vlog                             \
86
                TARGETS="$(UMC_LIB) $(GATE_NETLIST)"    \
87
                TB="$(_TB_)"                            \
88
                INCDIR=$(INCDIR)                        \
89
                WAVES="$(WAVES)"
90
        @echo ""
91
        @echo "----- Running NCELAB ... ----------"
92
        @$(MAKE) $(MS) elab                             \
93
                ACCESS="$(ACCESS)" TOP=$(_TOP_)
94
        @echo ""
95
        @echo "----- Running NCSIM ... ----------"
96
        @$(MAKE) $(MS) ncsim TOP=$(_TOP_)
97
        @echo ""
98
 
99
hal:
100
        @echo ""
101
        @echo "----- Running HAL ... ----------"
102
        @hal    -NOP -NOS -nocheck STYVAL:USEPRT:NOBLKN:DLNBLK  \
103
                +incdir+$(DUT_SRC_DIR) $(_TARGETS_)
104
        @echo "----- DONE ... ----------"
105
 
106
clean:
107
        rm -rf  ./waves/*.dsn ./waves/*.trn             \
108
                ncwork/inc* ncwork/.inc*                \
109
                ./verilog.* .nclog hal.log
110
 
111
##########################################################################
112
#
113
# NCVLOG
114
#
115
##########################################################################
116
 
117
vhdl:
118
        ncvhdl $(NCCOMMON) $(LOGF) -APPEND_LOG                  \
119
                -WORK count -V93 hdl/counter.vhd
120
        ncvhdl $(NCCOMMON) $(LOGF) -APPEND_LOG                  \
121
                -WORK work -V93 $(TARGETS)
122
 
123
vlog:
124
        ncvlog $(NCCOMMON) $(LOGF)                              \
125
                -WORK work $(WAVES) $(TB) $(TARGETS) $(INCDIR)
126
 
127
##########################################################################
128
#
129
# NCELAB
130
#
131
##########################################################################
132
 
133
elab:
134
        ncelab  $(NCCOMMON) $(LOGF) -APPEND_LOG                 \
135
                -WORK work $(ACCESS) -NOTIMINGCHECKS            \
136
                work.$(TOP)
137
 
138
##########################################################################
139
#
140
# NCSIM
141
#
142
##########################################################################
143
 
144
ncsim:
145
        ncsim   $(NCCOMMON) $(LOGF) -APPEND_LOG                 \
146
                -EXIT -ERRORMAX 10 work.$(TOP)
147
 
148
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.