OpenCores
URL https://opencores.org/ocsvn/wdsp/wdsp/trunk

Subversion Repositories wdsp

[/] [wdsp/] [trunk/] [rtl/] [verilog/] [minsoc/] [wb_conmax/] [trunk/] [rtl/] [verilog/] [wb_conmax_top.v] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 parrado
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3
////  WISHBONE Connection Matrix Top Level                       ////
4
////                                                             ////
5
////                                                             ////
6
////  Author: Rudolf Usselmann                                   ////
7
////          rudi@asics.ws                                      ////
8
////                                                             ////
9
////                                                             ////
10
////  Downloaded from: http://www.opencores.org/cores/wb_conmax/ ////
11
////                                                             ////
12
/////////////////////////////////////////////////////////////////////
13
////                                                             ////
14
//// Copyright (C) 2000-2002 Rudolf Usselmann                    ////
15
////                         www.asics.ws                        ////
16
////                         rudi@asics.ws                       ////
17
////                                                             ////
18
//// This source file may be used and distributed without        ////
19
//// restriction provided that this copyright statement is not   ////
20
//// removed from the file and that any derivative work contains ////
21
//// the original copyright notice and the associated disclaimer.////
22
////                                                             ////
23
////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
24
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
25
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
26
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
27
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
28
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
29
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
30
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
31
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
32
//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
33
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
34
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
35
//// POSSIBILITY OF SUCH DAMAGE.                                 ////
36
////                                                             ////
37
/////////////////////////////////////////////////////////////////////
38
 
39
//  CVS Log
40
//
41
//  $Id: wb_conmax_top.v,v 1.2 2002-10-03 05:40:07 rudi Exp $
42
//
43
//  $Date: 2002-10-03 05:40:07 $
44
//  $Revision: 1.2 $
45
//  $Author: rudi $
46
//  $Locker:  $
47
//  $State: Exp $
48
//
49
// Change History:
50
//               $Log: not supported by cvs2svn $
51
//               Revision 1.1.1.1  2001/10/19 11:01:38  rudi
52
//               WISHBONE CONMAX IP Core
53
//
54
//
55
//
56
//
57
//
58
 
59
`include "wb_conmax_defines.v"
60
 
61
module wb_conmax_top(
62
        clk_i, rst_i,
63
 
64
        // Master 0 Interface
65
        m0_data_i, m0_data_o, m0_addr_i, m0_sel_i, m0_we_i, m0_cyc_i,
66
        m0_stb_i, m0_ack_o, m0_err_o, m0_rty_o,
67
 
68
        // Master 1 Interface
69
        m1_data_i, m1_data_o, m1_addr_i, m1_sel_i, m1_we_i, m1_cyc_i,
70
        m1_stb_i, m1_ack_o, m1_err_o, m1_rty_o,
71
 
72
        // Master 2 Interface
73
        m2_data_i, m2_data_o, m2_addr_i, m2_sel_i, m2_we_i, m2_cyc_i,
74
        m2_stb_i, m2_ack_o, m2_err_o, m2_rty_o,
75
 
76
        // Master 3 Interface
77
        m3_data_i, m3_data_o, m3_addr_i, m3_sel_i, m3_we_i, m3_cyc_i,
78
        m3_stb_i, m3_ack_o, m3_err_o, m3_rty_o,
79
 
80
        // Master 4 Interface
81
        m4_data_i, m4_data_o, m4_addr_i, m4_sel_i, m4_we_i, m4_cyc_i,
82
        m4_stb_i, m4_ack_o, m4_err_o, m4_rty_o,
83
 
84
        // Master 5 Interface
85
        m5_data_i, m5_data_o, m5_addr_i, m5_sel_i, m5_we_i, m5_cyc_i,
86
        m5_stb_i, m5_ack_o, m5_err_o, m5_rty_o,
87
 
88
        // Master 6 Interface
89
        m6_data_i, m6_data_o, m6_addr_i, m6_sel_i, m6_we_i, m6_cyc_i,
90
        m6_stb_i, m6_ack_o, m6_err_o, m6_rty_o,
91
 
92
        // Master 7 Interface
93
        m7_data_i, m7_data_o, m7_addr_i, m7_sel_i, m7_we_i, m7_cyc_i,
94
        m7_stb_i, m7_ack_o, m7_err_o, m7_rty_o,
95
 
96
        // Slave 0 Interface
97
        s0_data_i, s0_data_o, s0_addr_o, s0_sel_o, s0_we_o, s0_cyc_o,
98
        s0_stb_o, s0_ack_i, s0_err_i, s0_rty_i,
99
 
100
        // Slave 1 Interface
101
        s1_data_i, s1_data_o, s1_addr_o, s1_sel_o, s1_we_o, s1_cyc_o,
102
        s1_stb_o, s1_ack_i, s1_err_i, s1_rty_i,
103
 
104
        // Slave 2 Interface
105
        s2_data_i, s2_data_o, s2_addr_o, s2_sel_o, s2_we_o, s2_cyc_o,
106
        s2_stb_o, s2_ack_i, s2_err_i, s2_rty_i,
107
 
108
        // Slave 3 Interface
109
        s3_data_i, s3_data_o, s3_addr_o, s3_sel_o, s3_we_o, s3_cyc_o,
110
        s3_stb_o, s3_ack_i, s3_err_i, s3_rty_i,
111
 
112
        // Slave 4 Interface
113
        s4_data_i, s4_data_o, s4_addr_o, s4_sel_o, s4_we_o, s4_cyc_o,
114
        s4_stb_o, s4_ack_i, s4_err_i, s4_rty_i,
115
 
116
        // Slave 5 Interface
117
        s5_data_i, s5_data_o, s5_addr_o, s5_sel_o, s5_we_o, s5_cyc_o,
118
        s5_stb_o, s5_ack_i, s5_err_i, s5_rty_i,
119
 
120
        // Slave 6 Interface
121
        s6_data_i, s6_data_o, s6_addr_o, s6_sel_o, s6_we_o, s6_cyc_o,
122
        s6_stb_o, s6_ack_i, s6_err_i, s6_rty_i,
123
 
124
        // Slave 7 Interface
125
        s7_data_i, s7_data_o, s7_addr_o, s7_sel_o, s7_we_o, s7_cyc_o,
126
        s7_stb_o, s7_ack_i, s7_err_i, s7_rty_i,
127
 
128
        // Slave 8 Interface
129
        s8_data_i, s8_data_o, s8_addr_o, s8_sel_o, s8_we_o, s8_cyc_o,
130
        s8_stb_o, s8_ack_i, s8_err_i, s8_rty_i,
131
 
132
        // Slave 9 Interface
133
        s9_data_i, s9_data_o, s9_addr_o, s9_sel_o, s9_we_o, s9_cyc_o,
134
        s9_stb_o, s9_ack_i, s9_err_i, s9_rty_i,
135
 
136
        // Slave 10 Interface
137
        s10_data_i, s10_data_o, s10_addr_o, s10_sel_o, s10_we_o, s10_cyc_o,
138
        s10_stb_o, s10_ack_i, s10_err_i, s10_rty_i,
139
 
140
        // Slave 11 Interface
141
        s11_data_i, s11_data_o, s11_addr_o, s11_sel_o, s11_we_o, s11_cyc_o,
142
        s11_stb_o, s11_ack_i, s11_err_i, s11_rty_i,
143
 
144
        // Slave 12 Interface
145
        s12_data_i, s12_data_o, s12_addr_o, s12_sel_o, s12_we_o, s12_cyc_o,
146
        s12_stb_o, s12_ack_i, s12_err_i, s12_rty_i,
147
 
148
        // Slave 13 Interface
149
        s13_data_i, s13_data_o, s13_addr_o, s13_sel_o, s13_we_o, s13_cyc_o,
150
        s13_stb_o, s13_ack_i, s13_err_i, s13_rty_i,
151
 
152
        // Slave 14 Interface
153
        s14_data_i, s14_data_o, s14_addr_o, s14_sel_o, s14_we_o, s14_cyc_o,
154
        s14_stb_o, s14_ack_i, s14_err_i, s14_rty_i,
155
 
156
        // Slave 15 Interface
157
        s15_data_i, s15_data_o, s15_addr_o, s15_sel_o, s15_we_o, s15_cyc_o,
158
        s15_stb_o, s15_ack_i, s15_err_i, s15_rty_i
159
        );
160
 
161
////////////////////////////////////////////////////////////////////
162
//
163
// Module Parameters
164
//
165
 
166
parameter               dw       = 32;          // Data bus Width
167
parameter               aw       = 32;          // Address bus Width
168
parameter       [3:0]    rf_addr  = 4'hf;
169
parameter       [1:0]    pri_sel0 = 2'd2;
170
parameter       [1:0]    pri_sel1 = 2'd2;
171
parameter       [1:0]    pri_sel2 = 2'd2;
172
parameter       [1:0]    pri_sel3 = 2'd2;
173
parameter       [1:0]    pri_sel4 = 2'd2;
174
parameter       [1:0]    pri_sel5 = 2'd2;
175
parameter       [1:0]    pri_sel6 = 2'd2;
176
parameter       [1:0]    pri_sel7 = 2'd2;
177
parameter       [1:0]    pri_sel8 = 2'd2;
178
parameter       [1:0]    pri_sel9 = 2'd2;
179
parameter       [1:0]    pri_sel10 = 2'd2;
180
parameter       [1:0]    pri_sel11 = 2'd2;
181
parameter       [1:0]    pri_sel12 = 2'd2;
182
parameter       [1:0]    pri_sel13 = 2'd2;
183
parameter       [1:0]    pri_sel14 = 2'd2;
184
parameter       [1:0]    pri_sel15 = 2'd2;
185
 
186
parameter               sw = dw / 8;    // Number of Select Lines
187
 
188
////////////////////////////////////////////////////////////////////
189
//
190
// Module IOs
191
//
192
 
193
input           clk_i, rst_i;
194
 
195
// Master 0 Interface
196
input   [dw-1:0] m0_data_i;
197
output  [dw-1:0] m0_data_o;
198
input   [aw-1:0] m0_addr_i;
199
input   [sw-1:0] m0_sel_i;
200
input                   m0_we_i;
201
input                   m0_cyc_i;
202
input                   m0_stb_i;
203
output                  m0_ack_o;
204
output                  m0_err_o;
205
output                  m0_rty_o;
206
 
207
// Master 1 Interface
208
input   [dw-1:0] m1_data_i;
209
output  [dw-1:0] m1_data_o;
210
input   [aw-1:0] m1_addr_i;
211
input   [sw-1:0] m1_sel_i;
212
input                   m1_we_i;
213
input                   m1_cyc_i;
214
input                   m1_stb_i;
215
output                  m1_ack_o;
216
output                  m1_err_o;
217
output                  m1_rty_o;
218
 
219
// Master 2 Interface
220
input   [dw-1:0] m2_data_i;
221
output  [dw-1:0] m2_data_o;
222
input   [aw-1:0] m2_addr_i;
223
input   [sw-1:0] m2_sel_i;
224
input                   m2_we_i;
225
input                   m2_cyc_i;
226
input                   m2_stb_i;
227
output                  m2_ack_o;
228
output                  m2_err_o;
229
output                  m2_rty_o;
230
 
231
// Master 3 Interface
232
input   [dw-1:0] m3_data_i;
233
output  [dw-1:0] m3_data_o;
234
input   [aw-1:0] m3_addr_i;
235
input   [sw-1:0] m3_sel_i;
236
input                   m3_we_i;
237
input                   m3_cyc_i;
238
input                   m3_stb_i;
239
output                  m3_ack_o;
240
output                  m3_err_o;
241
output                  m3_rty_o;
242
 
243
// Master 4 Interface
244
input   [dw-1:0] m4_data_i;
245
output  [dw-1:0] m4_data_o;
246
input   [aw-1:0] m4_addr_i;
247
input   [sw-1:0] m4_sel_i;
248
input                   m4_we_i;
249
input                   m4_cyc_i;
250
input                   m4_stb_i;
251
output                  m4_ack_o;
252
output                  m4_err_o;
253
output                  m4_rty_o;
254
 
255
// Master 5 Interface
256
input   [dw-1:0] m5_data_i;
257
output  [dw-1:0] m5_data_o;
258
input   [aw-1:0] m5_addr_i;
259
input   [sw-1:0] m5_sel_i;
260
input                   m5_we_i;
261
input                   m5_cyc_i;
262
input                   m5_stb_i;
263
output                  m5_ack_o;
264
output                  m5_err_o;
265
output                  m5_rty_o;
266
 
267
// Master 6 Interface
268
input   [dw-1:0] m6_data_i;
269
output  [dw-1:0] m6_data_o;
270
input   [aw-1:0] m6_addr_i;
271
input   [sw-1:0] m6_sel_i;
272
input                   m6_we_i;
273
input                   m6_cyc_i;
274
input                   m6_stb_i;
275
output                  m6_ack_o;
276
output                  m6_err_o;
277
output                  m6_rty_o;
278
 
279
// Master 7 Interface
280
input   [dw-1:0] m7_data_i;
281
output  [dw-1:0] m7_data_o;
282
input   [aw-1:0] m7_addr_i;
283
input   [sw-1:0] m7_sel_i;
284
input                   m7_we_i;
285
input                   m7_cyc_i;
286
input                   m7_stb_i;
287
output                  m7_ack_o;
288
output                  m7_err_o;
289
output                  m7_rty_o;
290
 
291
// Slave 0 Interface
292
input   [dw-1:0] s0_data_i;
293
output  [dw-1:0] s0_data_o;
294
output  [aw-1:0] s0_addr_o;
295
output  [sw-1:0] s0_sel_o;
296
output                  s0_we_o;
297
output                  s0_cyc_o;
298
output                  s0_stb_o;
299
input                   s0_ack_i;
300
input                   s0_err_i;
301
input                   s0_rty_i;
302
 
303
// Slave 1 Interface
304
input   [dw-1:0] s1_data_i;
305
output  [dw-1:0] s1_data_o;
306
output  [aw-1:0] s1_addr_o;
307
output  [sw-1:0] s1_sel_o;
308
output                  s1_we_o;
309
output                  s1_cyc_o;
310
output                  s1_stb_o;
311
input                   s1_ack_i;
312
input                   s1_err_i;
313
input                   s1_rty_i;
314
 
315
// Slave 2 Interface
316
input   [dw-1:0] s2_data_i;
317
output  [dw-1:0] s2_data_o;
318
output  [aw-1:0] s2_addr_o;
319
output  [sw-1:0] s2_sel_o;
320
output                  s2_we_o;
321
output                  s2_cyc_o;
322
output                  s2_stb_o;
323
input                   s2_ack_i;
324
input                   s2_err_i;
325
input                   s2_rty_i;
326
 
327
// Slave 3 Interface
328
input   [dw-1:0] s3_data_i;
329
output  [dw-1:0] s3_data_o;
330
output  [aw-1:0] s3_addr_o;
331
output  [sw-1:0] s3_sel_o;
332
output                  s3_we_o;
333
output                  s3_cyc_o;
334
output                  s3_stb_o;
335
input                   s3_ack_i;
336
input                   s3_err_i;
337
input                   s3_rty_i;
338
 
339
// Slave 4 Interface
340
input   [dw-1:0] s4_data_i;
341
output  [dw-1:0] s4_data_o;
342
output  [aw-1:0] s4_addr_o;
343
output  [sw-1:0] s4_sel_o;
344
output                  s4_we_o;
345
output                  s4_cyc_o;
346
output                  s4_stb_o;
347
input                   s4_ack_i;
348
input                   s4_err_i;
349
input                   s4_rty_i;
350
 
351
// Slave 5 Interface
352
input   [dw-1:0] s5_data_i;
353
output  [dw-1:0] s5_data_o;
354
output  [aw-1:0] s5_addr_o;
355
output  [sw-1:0] s5_sel_o;
356
output                  s5_we_o;
357
output                  s5_cyc_o;
358
output                  s5_stb_o;
359
input                   s5_ack_i;
360
input                   s5_err_i;
361
input                   s5_rty_i;
362
 
363
// Slave 6 Interface
364
input   [dw-1:0] s6_data_i;
365
output  [dw-1:0] s6_data_o;
366
output  [aw-1:0] s6_addr_o;
367
output  [sw-1:0] s6_sel_o;
368
output                  s6_we_o;
369
output                  s6_cyc_o;
370
output                  s6_stb_o;
371
input                   s6_ack_i;
372
input                   s6_err_i;
373
input                   s6_rty_i;
374
 
375
// Slave 7 Interface
376
input   [dw-1:0] s7_data_i;
377
output  [dw-1:0] s7_data_o;
378
output  [aw-1:0] s7_addr_o;
379
output  [sw-1:0] s7_sel_o;
380
output                  s7_we_o;
381
output                  s7_cyc_o;
382
output                  s7_stb_o;
383
input                   s7_ack_i;
384
input                   s7_err_i;
385
input                   s7_rty_i;
386
 
387
// Slave 8 Interface
388
input   [dw-1:0] s8_data_i;
389
output  [dw-1:0] s8_data_o;
390
output  [aw-1:0] s8_addr_o;
391
output  [sw-1:0] s8_sel_o;
392
output                  s8_we_o;
393
output                  s8_cyc_o;
394
output                  s8_stb_o;
395
input                   s8_ack_i;
396
input                   s8_err_i;
397
input                   s8_rty_i;
398
 
399
// Slave 9 Interface
400
input   [dw-1:0] s9_data_i;
401
output  [dw-1:0] s9_data_o;
402
output  [aw-1:0] s9_addr_o;
403
output  [sw-1:0] s9_sel_o;
404
output                  s9_we_o;
405
output                  s9_cyc_o;
406
output                  s9_stb_o;
407
input                   s9_ack_i;
408
input                   s9_err_i;
409
input                   s9_rty_i;
410
 
411
// Slave 10 Interface
412
input   [dw-1:0] s10_data_i;
413
output  [dw-1:0] s10_data_o;
414
output  [aw-1:0] s10_addr_o;
415
output  [sw-1:0] s10_sel_o;
416
output                  s10_we_o;
417
output                  s10_cyc_o;
418
output                  s10_stb_o;
419
input                   s10_ack_i;
420
input                   s10_err_i;
421
input                   s10_rty_i;
422
 
423
// Slave 11 Interface
424
input   [dw-1:0] s11_data_i;
425
output  [dw-1:0] s11_data_o;
426
output  [aw-1:0] s11_addr_o;
427
output  [sw-1:0] s11_sel_o;
428
output                  s11_we_o;
429
output                  s11_cyc_o;
430
output                  s11_stb_o;
431
input                   s11_ack_i;
432
input                   s11_err_i;
433
input                   s11_rty_i;
434
 
435
// Slave 12 Interface
436
input   [dw-1:0] s12_data_i;
437
output  [dw-1:0] s12_data_o;
438
output  [aw-1:0] s12_addr_o;
439
output  [sw-1:0] s12_sel_o;
440
output                  s12_we_o;
441
output                  s12_cyc_o;
442
output                  s12_stb_o;
443
input                   s12_ack_i;
444
input                   s12_err_i;
445
input                   s12_rty_i;
446
 
447
// Slave 13 Interface
448
input   [dw-1:0] s13_data_i;
449
output  [dw-1:0] s13_data_o;
450
output  [aw-1:0] s13_addr_o;
451
output  [sw-1:0] s13_sel_o;
452
output                  s13_we_o;
453
output                  s13_cyc_o;
454
output                  s13_stb_o;
455
input                   s13_ack_i;
456
input                   s13_err_i;
457
input                   s13_rty_i;
458
 
459
// Slave 14 Interface
460
input   [dw-1:0] s14_data_i;
461
output  [dw-1:0] s14_data_o;
462
output  [aw-1:0] s14_addr_o;
463
output  [sw-1:0] s14_sel_o;
464
output                  s14_we_o;
465
output                  s14_cyc_o;
466
output                  s14_stb_o;
467
input                   s14_ack_i;
468
input                   s14_err_i;
469
input                   s14_rty_i;
470
 
471
// Slave 15 Interface
472
input   [dw-1:0] s15_data_i;
473
output  [dw-1:0] s15_data_o;
474
output  [aw-1:0] s15_addr_o;
475
output  [sw-1:0] s15_sel_o;
476
output                  s15_we_o;
477
output                  s15_cyc_o;
478
output                  s15_stb_o;
479
input                   s15_ack_i;
480
input                   s15_err_i;
481
input                   s15_rty_i;
482
 
483
////////////////////////////////////////////////////////////////////
484
//
485
// Local wires
486
//
487
 
488
wire    [dw-1:0] i_s15_data_i;
489
wire    [dw-1:0] i_s15_data_o;
490
wire    [aw-1:0] i_s15_addr_o;
491
wire    [sw-1:0] i_s15_sel_o;
492
wire                    i_s15_we_o;
493
wire                    i_s15_cyc_o;
494
wire                    i_s15_stb_o;
495
wire                    i_s15_ack_i;
496
wire                    i_s15_err_i;
497
wire                    i_s15_rty_i;
498
 
499
wire    [dw-1:0] m0s0_data_i;
500
wire    [dw-1:0] m0s0_data_o;
501
wire    [aw-1:0] m0s0_addr;
502
wire    [sw-1:0] m0s0_sel;
503
wire                    m0s0_we;
504
wire                    m0s0_cyc;
505
wire                    m0s0_stb;
506
wire                    m0s0_ack;
507
wire                    m0s0_err;
508
wire                    m0s0_rty;
509
wire    [dw-1:0] m0s1_data_i;
510
wire    [dw-1:0] m0s1_data_o;
511
wire    [aw-1:0] m0s1_addr;
512
wire    [sw-1:0] m0s1_sel;
513
wire                    m0s1_we;
514
wire                    m0s1_cyc;
515
wire                    m0s1_stb;
516
wire                    m0s1_ack;
517
wire                    m0s1_err;
518
wire                    m0s1_rty;
519
wire    [dw-1:0] m0s2_data_i;
520
wire    [dw-1:0] m0s2_data_o;
521
wire    [aw-1:0] m0s2_addr;
522
wire    [sw-1:0] m0s2_sel;
523
wire                    m0s2_we;
524
wire                    m0s2_cyc;
525
wire                    m0s2_stb;
526
wire                    m0s2_ack;
527
wire                    m0s2_err;
528
wire                    m0s2_rty;
529
wire    [dw-1:0] m0s3_data_i;
530
wire    [dw-1:0] m0s3_data_o;
531
wire    [aw-1:0] m0s3_addr;
532
wire    [sw-1:0] m0s3_sel;
533
wire                    m0s3_we;
534
wire                    m0s3_cyc;
535
wire                    m0s3_stb;
536
wire                    m0s3_ack;
537
wire                    m0s3_err;
538
wire                    m0s3_rty;
539
wire    [dw-1:0] m0s4_data_i;
540
wire    [dw-1:0] m0s4_data_o;
541
wire    [aw-1:0] m0s4_addr;
542
wire    [sw-1:0] m0s4_sel;
543
wire                    m0s4_we;
544
wire                    m0s4_cyc;
545
wire                    m0s4_stb;
546
wire                    m0s4_ack;
547
wire                    m0s4_err;
548
wire                    m0s4_rty;
549
wire    [dw-1:0] m0s5_data_i;
550
wire    [dw-1:0] m0s5_data_o;
551
wire    [aw-1:0] m0s5_addr;
552
wire    [sw-1:0] m0s5_sel;
553
wire                    m0s5_we;
554
wire                    m0s5_cyc;
555
wire                    m0s5_stb;
556
wire                    m0s5_ack;
557
wire                    m0s5_err;
558
wire                    m0s5_rty;
559
wire    [dw-1:0] m0s6_data_i;
560
wire    [dw-1:0] m0s6_data_o;
561
wire    [aw-1:0] m0s6_addr;
562
wire    [sw-1:0] m0s6_sel;
563
wire                    m0s6_we;
564
wire                    m0s6_cyc;
565
wire                    m0s6_stb;
566
wire                    m0s6_ack;
567
wire                    m0s6_err;
568
wire                    m0s6_rty;
569
wire    [dw-1:0] m0s7_data_i;
570
wire    [dw-1:0] m0s7_data_o;
571
wire    [aw-1:0] m0s7_addr;
572
wire    [sw-1:0] m0s7_sel;
573
wire                    m0s7_we;
574
wire                    m0s7_cyc;
575
wire                    m0s7_stb;
576
wire                    m0s7_ack;
577
wire                    m0s7_err;
578
wire                    m0s7_rty;
579
wire    [dw-1:0] m0s8_data_i;
580
wire    [dw-1:0] m0s8_data_o;
581
wire    [aw-1:0] m0s8_addr;
582
wire    [sw-1:0] m0s8_sel;
583
wire                    m0s8_we;
584
wire                    m0s8_cyc;
585
wire                    m0s8_stb;
586
wire                    m0s8_ack;
587
wire                    m0s8_err;
588
wire                    m0s8_rty;
589
wire    [dw-1:0] m0s9_data_i;
590
wire    [dw-1:0] m0s9_data_o;
591
wire    [aw-1:0] m0s9_addr;
592
wire    [sw-1:0] m0s9_sel;
593
wire                    m0s9_we;
594
wire                    m0s9_cyc;
595
wire                    m0s9_stb;
596
wire                    m0s9_ack;
597
wire                    m0s9_err;
598
wire                    m0s9_rty;
599
wire    [dw-1:0] m0s10_data_i;
600
wire    [dw-1:0] m0s10_data_o;
601
wire    [aw-1:0] m0s10_addr;
602
wire    [sw-1:0] m0s10_sel;
603
wire                    m0s10_we;
604
wire                    m0s10_cyc;
605
wire                    m0s10_stb;
606
wire                    m0s10_ack;
607
wire                    m0s10_err;
608
wire                    m0s10_rty;
609
wire    [dw-1:0] m0s11_data_i;
610
wire    [dw-1:0] m0s11_data_o;
611
wire    [aw-1:0] m0s11_addr;
612
wire    [sw-1:0] m0s11_sel;
613
wire                    m0s11_we;
614
wire                    m0s11_cyc;
615
wire                    m0s11_stb;
616
wire                    m0s11_ack;
617
wire                    m0s11_err;
618
wire                    m0s11_rty;
619
wire    [dw-1:0] m0s12_data_i;
620
wire    [dw-1:0] m0s12_data_o;
621
wire    [aw-1:0] m0s12_addr;
622
wire    [sw-1:0] m0s12_sel;
623
wire                    m0s12_we;
624
wire                    m0s12_cyc;
625
wire                    m0s12_stb;
626
wire                    m0s12_ack;
627
wire                    m0s12_err;
628
wire                    m0s12_rty;
629
wire    [dw-1:0] m0s13_data_i;
630
wire    [dw-1:0] m0s13_data_o;
631
wire    [aw-1:0] m0s13_addr;
632
wire    [sw-1:0] m0s13_sel;
633
wire                    m0s13_we;
634
wire                    m0s13_cyc;
635
wire                    m0s13_stb;
636
wire                    m0s13_ack;
637
wire                    m0s13_err;
638
wire                    m0s13_rty;
639
wire    [dw-1:0] m0s14_data_i;
640
wire    [dw-1:0] m0s14_data_o;
641
wire    [aw-1:0] m0s14_addr;
642
wire    [sw-1:0] m0s14_sel;
643
wire                    m0s14_we;
644
wire                    m0s14_cyc;
645
wire                    m0s14_stb;
646
wire                    m0s14_ack;
647
wire                    m0s14_err;
648
wire                    m0s14_rty;
649
wire    [dw-1:0] m0s15_data_i;
650
wire    [dw-1:0] m0s15_data_o;
651
wire    [aw-1:0] m0s15_addr;
652
wire    [sw-1:0] m0s15_sel;
653
wire                    m0s15_we;
654
wire                    m0s15_cyc;
655
wire                    m0s15_stb;
656
wire                    m0s15_ack;
657
wire                    m0s15_err;
658
wire                    m0s15_rty;
659
wire    [dw-1:0] m1s0_data_i;
660
wire    [dw-1:0] m1s0_data_o;
661
wire    [aw-1:0] m1s0_addr;
662
wire    [sw-1:0] m1s0_sel;
663
wire                    m1s0_we;
664
wire                    m1s0_cyc;
665
wire                    m1s0_stb;
666
wire                    m1s0_ack;
667
wire                    m1s0_err;
668
wire                    m1s0_rty;
669
wire    [dw-1:0] m1s1_data_i;
670
wire    [dw-1:0] m1s1_data_o;
671
wire    [aw-1:0] m1s1_addr;
672
wire    [sw-1:0] m1s1_sel;
673
wire                    m1s1_we;
674
wire                    m1s1_cyc;
675
wire                    m1s1_stb;
676
wire                    m1s1_ack;
677
wire                    m1s1_err;
678
wire                    m1s1_rty;
679
wire    [dw-1:0] m1s2_data_i;
680
wire    [dw-1:0] m1s2_data_o;
681
wire    [aw-1:0] m1s2_addr;
682
wire    [sw-1:0] m1s2_sel;
683
wire                    m1s2_we;
684
wire                    m1s2_cyc;
685
wire                    m1s2_stb;
686
wire                    m1s2_ack;
687
wire                    m1s2_err;
688
wire                    m1s2_rty;
689
wire    [dw-1:0] m1s3_data_i;
690
wire    [dw-1:0] m1s3_data_o;
691
wire    [aw-1:0] m1s3_addr;
692
wire    [sw-1:0] m1s3_sel;
693
wire                    m1s3_we;
694
wire                    m1s3_cyc;
695
wire                    m1s3_stb;
696
wire                    m1s3_ack;
697
wire                    m1s3_err;
698
wire                    m1s3_rty;
699
wire    [dw-1:0] m1s4_data_i;
700
wire    [dw-1:0] m1s4_data_o;
701
wire    [aw-1:0] m1s4_addr;
702
wire    [sw-1:0] m1s4_sel;
703
wire                    m1s4_we;
704
wire                    m1s4_cyc;
705
wire                    m1s4_stb;
706
wire                    m1s4_ack;
707
wire                    m1s4_err;
708
wire                    m1s4_rty;
709
wire    [dw-1:0] m1s5_data_i;
710
wire    [dw-1:0] m1s5_data_o;
711
wire    [aw-1:0] m1s5_addr;
712
wire    [sw-1:0] m1s5_sel;
713
wire                    m1s5_we;
714
wire                    m1s5_cyc;
715
wire                    m1s5_stb;
716
wire                    m1s5_ack;
717
wire                    m1s5_err;
718
wire                    m1s5_rty;
719
wire    [dw-1:0] m1s6_data_i;
720
wire    [dw-1:0] m1s6_data_o;
721
wire    [aw-1:0] m1s6_addr;
722
wire    [sw-1:0] m1s6_sel;
723
wire                    m1s6_we;
724
wire                    m1s6_cyc;
725
wire                    m1s6_stb;
726
wire                    m1s6_ack;
727
wire                    m1s6_err;
728
wire                    m1s6_rty;
729
wire    [dw-1:0] m1s7_data_i;
730
wire    [dw-1:0] m1s7_data_o;
731
wire    [aw-1:0] m1s7_addr;
732
wire    [sw-1:0] m1s7_sel;
733
wire                    m1s7_we;
734
wire                    m1s7_cyc;
735
wire                    m1s7_stb;
736
wire                    m1s7_ack;
737
wire                    m1s7_err;
738
wire                    m1s7_rty;
739
wire    [dw-1:0] m1s8_data_i;
740
wire    [dw-1:0] m1s8_data_o;
741
wire    [aw-1:0] m1s8_addr;
742
wire    [sw-1:0] m1s8_sel;
743
wire                    m1s8_we;
744
wire                    m1s8_cyc;
745
wire                    m1s8_stb;
746
wire                    m1s8_ack;
747
wire                    m1s8_err;
748
wire                    m1s8_rty;
749
wire    [dw-1:0] m1s9_data_i;
750
wire    [dw-1:0] m1s9_data_o;
751
wire    [aw-1:0] m1s9_addr;
752
wire    [sw-1:0] m1s9_sel;
753
wire                    m1s9_we;
754
wire                    m1s9_cyc;
755
wire                    m1s9_stb;
756
wire                    m1s9_ack;
757
wire                    m1s9_err;
758
wire                    m1s9_rty;
759
wire    [dw-1:0] m1s10_data_i;
760
wire    [dw-1:0] m1s10_data_o;
761
wire    [aw-1:0] m1s10_addr;
762
wire    [sw-1:0] m1s10_sel;
763
wire                    m1s10_we;
764
wire                    m1s10_cyc;
765
wire                    m1s10_stb;
766
wire                    m1s10_ack;
767
wire                    m1s10_err;
768
wire                    m1s10_rty;
769
wire    [dw-1:0] m1s11_data_i;
770
wire    [dw-1:0] m1s11_data_o;
771
wire    [aw-1:0] m1s11_addr;
772
wire    [sw-1:0] m1s11_sel;
773
wire                    m1s11_we;
774
wire                    m1s11_cyc;
775
wire                    m1s11_stb;
776
wire                    m1s11_ack;
777
wire                    m1s11_err;
778
wire                    m1s11_rty;
779
wire    [dw-1:0] m1s12_data_i;
780
wire    [dw-1:0] m1s12_data_o;
781
wire    [aw-1:0] m1s12_addr;
782
wire    [sw-1:0] m1s12_sel;
783
wire                    m1s12_we;
784
wire                    m1s12_cyc;
785
wire                    m1s12_stb;
786
wire                    m1s12_ack;
787
wire                    m1s12_err;
788
wire                    m1s12_rty;
789
wire    [dw-1:0] m1s13_data_i;
790
wire    [dw-1:0] m1s13_data_o;
791
wire    [aw-1:0] m1s13_addr;
792
wire    [sw-1:0] m1s13_sel;
793
wire                    m1s13_we;
794
wire                    m1s13_cyc;
795
wire                    m1s13_stb;
796
wire                    m1s13_ack;
797
wire                    m1s13_err;
798
wire                    m1s13_rty;
799
wire    [dw-1:0] m1s14_data_i;
800
wire    [dw-1:0] m1s14_data_o;
801
wire    [aw-1:0] m1s14_addr;
802
wire    [sw-1:0] m1s14_sel;
803
wire                    m1s14_we;
804
wire                    m1s14_cyc;
805
wire                    m1s14_stb;
806
wire                    m1s14_ack;
807
wire                    m1s14_err;
808
wire                    m1s14_rty;
809
wire    [dw-1:0] m1s15_data_i;
810
wire    [dw-1:0] m1s15_data_o;
811
wire    [aw-1:0] m1s15_addr;
812
wire    [sw-1:0] m1s15_sel;
813
wire                    m1s15_we;
814
wire                    m1s15_cyc;
815
wire                    m1s15_stb;
816
wire                    m1s15_ack;
817
wire                    m1s15_err;
818
wire                    m1s15_rty;
819
wire    [dw-1:0] m2s0_data_i;
820
wire    [dw-1:0] m2s0_data_o;
821
wire    [aw-1:0] m2s0_addr;
822
wire    [sw-1:0] m2s0_sel;
823
wire                    m2s0_we;
824
wire                    m2s0_cyc;
825
wire                    m2s0_stb;
826
wire                    m2s0_ack;
827
wire                    m2s0_err;
828
wire                    m2s0_rty;
829
wire    [dw-1:0] m2s1_data_i;
830
wire    [dw-1:0] m2s1_data_o;
831
wire    [aw-1:0] m2s1_addr;
832
wire    [sw-1:0] m2s1_sel;
833
wire                    m2s1_we;
834
wire                    m2s1_cyc;
835
wire                    m2s1_stb;
836
wire                    m2s1_ack;
837
wire                    m2s1_err;
838
wire                    m2s1_rty;
839
wire    [dw-1:0] m2s2_data_i;
840
wire    [dw-1:0] m2s2_data_o;
841
wire    [aw-1:0] m2s2_addr;
842
wire    [sw-1:0] m2s2_sel;
843
wire                    m2s2_we;
844
wire                    m2s2_cyc;
845
wire                    m2s2_stb;
846
wire                    m2s2_ack;
847
wire                    m2s2_err;
848
wire                    m2s2_rty;
849
wire    [dw-1:0] m2s3_data_i;
850
wire    [dw-1:0] m2s3_data_o;
851
wire    [aw-1:0] m2s3_addr;
852
wire    [sw-1:0] m2s3_sel;
853
wire                    m2s3_we;
854
wire                    m2s3_cyc;
855
wire                    m2s3_stb;
856
wire                    m2s3_ack;
857
wire                    m2s3_err;
858
wire                    m2s3_rty;
859
wire    [dw-1:0] m2s4_data_i;
860
wire    [dw-1:0] m2s4_data_o;
861
wire    [aw-1:0] m2s4_addr;
862
wire    [sw-1:0] m2s4_sel;
863
wire                    m2s4_we;
864
wire                    m2s4_cyc;
865
wire                    m2s4_stb;
866
wire                    m2s4_ack;
867
wire                    m2s4_err;
868
wire                    m2s4_rty;
869
wire    [dw-1:0] m2s5_data_i;
870
wire    [dw-1:0] m2s5_data_o;
871
wire    [aw-1:0] m2s5_addr;
872
wire    [sw-1:0] m2s5_sel;
873
wire                    m2s5_we;
874
wire                    m2s5_cyc;
875
wire                    m2s5_stb;
876
wire                    m2s5_ack;
877
wire                    m2s5_err;
878
wire                    m2s5_rty;
879
wire    [dw-1:0] m2s6_data_i;
880
wire    [dw-1:0] m2s6_data_o;
881
wire    [aw-1:0] m2s6_addr;
882
wire    [sw-1:0] m2s6_sel;
883
wire                    m2s6_we;
884
wire                    m2s6_cyc;
885
wire                    m2s6_stb;
886
wire                    m2s6_ack;
887
wire                    m2s6_err;
888
wire                    m2s6_rty;
889
wire    [dw-1:0] m2s7_data_i;
890
wire    [dw-1:0] m2s7_data_o;
891
wire    [aw-1:0] m2s7_addr;
892
wire    [sw-1:0] m2s7_sel;
893
wire                    m2s7_we;
894
wire                    m2s7_cyc;
895
wire                    m2s7_stb;
896
wire                    m2s7_ack;
897
wire                    m2s7_err;
898
wire                    m2s7_rty;
899
wire    [dw-1:0] m2s8_data_i;
900
wire    [dw-1:0] m2s8_data_o;
901
wire    [aw-1:0] m2s8_addr;
902
wire    [sw-1:0] m2s8_sel;
903
wire                    m2s8_we;
904
wire                    m2s8_cyc;
905
wire                    m2s8_stb;
906
wire                    m2s8_ack;
907
wire                    m2s8_err;
908
wire                    m2s8_rty;
909
wire    [dw-1:0] m2s9_data_i;
910
wire    [dw-1:0] m2s9_data_o;
911
wire    [aw-1:0] m2s9_addr;
912
wire    [sw-1:0] m2s9_sel;
913
wire                    m2s9_we;
914
wire                    m2s9_cyc;
915
wire                    m2s9_stb;
916
wire                    m2s9_ack;
917
wire                    m2s9_err;
918
wire                    m2s9_rty;
919
wire    [dw-1:0] m2s10_data_i;
920
wire    [dw-1:0] m2s10_data_o;
921
wire    [aw-1:0] m2s10_addr;
922
wire    [sw-1:0] m2s10_sel;
923
wire                    m2s10_we;
924
wire                    m2s10_cyc;
925
wire                    m2s10_stb;
926
wire                    m2s10_ack;
927
wire                    m2s10_err;
928
wire                    m2s10_rty;
929
wire    [dw-1:0] m2s11_data_i;
930
wire    [dw-1:0] m2s11_data_o;
931
wire    [aw-1:0] m2s11_addr;
932
wire    [sw-1:0] m2s11_sel;
933
wire                    m2s11_we;
934
wire                    m2s11_cyc;
935
wire                    m2s11_stb;
936
wire                    m2s11_ack;
937
wire                    m2s11_err;
938
wire                    m2s11_rty;
939
wire    [dw-1:0] m2s12_data_i;
940
wire    [dw-1:0] m2s12_data_o;
941
wire    [aw-1:0] m2s12_addr;
942
wire    [sw-1:0] m2s12_sel;
943
wire                    m2s12_we;
944
wire                    m2s12_cyc;
945
wire                    m2s12_stb;
946
wire                    m2s12_ack;
947
wire                    m2s12_err;
948
wire                    m2s12_rty;
949
wire    [dw-1:0] m2s13_data_i;
950
wire    [dw-1:0] m2s13_data_o;
951
wire    [aw-1:0] m2s13_addr;
952
wire    [sw-1:0] m2s13_sel;
953
wire                    m2s13_we;
954
wire                    m2s13_cyc;
955
wire                    m2s13_stb;
956
wire                    m2s13_ack;
957
wire                    m2s13_err;
958
wire                    m2s13_rty;
959
wire    [dw-1:0] m2s14_data_i;
960
wire    [dw-1:0] m2s14_data_o;
961
wire    [aw-1:0] m2s14_addr;
962
wire    [sw-1:0] m2s14_sel;
963
wire                    m2s14_we;
964
wire                    m2s14_cyc;
965
wire                    m2s14_stb;
966
wire                    m2s14_ack;
967
wire                    m2s14_err;
968
wire                    m2s14_rty;
969
wire    [dw-1:0] m2s15_data_i;
970
wire    [dw-1:0] m2s15_data_o;
971
wire    [aw-1:0] m2s15_addr;
972
wire    [sw-1:0] m2s15_sel;
973
wire                    m2s15_we;
974
wire                    m2s15_cyc;
975
wire                    m2s15_stb;
976
wire                    m2s15_ack;
977
wire                    m2s15_err;
978
wire                    m2s15_rty;
979
wire    [dw-1:0] m3s0_data_i;
980
wire    [dw-1:0] m3s0_data_o;
981
wire    [aw-1:0] m3s0_addr;
982
wire    [sw-1:0] m3s0_sel;
983
wire                    m3s0_we;
984
wire                    m3s0_cyc;
985
wire                    m3s0_stb;
986
wire                    m3s0_ack;
987
wire                    m3s0_err;
988
wire                    m3s0_rty;
989
wire    [dw-1:0] m3s1_data_i;
990
wire    [dw-1:0] m3s1_data_o;
991
wire    [aw-1:0] m3s1_addr;
992
wire    [sw-1:0] m3s1_sel;
993
wire                    m3s1_we;
994
wire                    m3s1_cyc;
995
wire                    m3s1_stb;
996
wire                    m3s1_ack;
997
wire                    m3s1_err;
998
wire                    m3s1_rty;
999
wire    [dw-1:0] m3s2_data_i;
1000
wire    [dw-1:0] m3s2_data_o;
1001
wire    [aw-1:0] m3s2_addr;
1002
wire    [sw-1:0] m3s2_sel;
1003
wire                    m3s2_we;
1004
wire                    m3s2_cyc;
1005
wire                    m3s2_stb;
1006
wire                    m3s2_ack;
1007
wire                    m3s2_err;
1008
wire                    m3s2_rty;
1009
wire    [dw-1:0] m3s3_data_i;
1010
wire    [dw-1:0] m3s3_data_o;
1011
wire    [aw-1:0] m3s3_addr;
1012
wire    [sw-1:0] m3s3_sel;
1013
wire                    m3s3_we;
1014
wire                    m3s3_cyc;
1015
wire                    m3s3_stb;
1016
wire                    m3s3_ack;
1017
wire                    m3s3_err;
1018
wire                    m3s3_rty;
1019
wire    [dw-1:0] m3s4_data_i;
1020
wire    [dw-1:0] m3s4_data_o;
1021
wire    [aw-1:0] m3s4_addr;
1022
wire    [sw-1:0] m3s4_sel;
1023
wire                    m3s4_we;
1024
wire                    m3s4_cyc;
1025
wire                    m3s4_stb;
1026
wire                    m3s4_ack;
1027
wire                    m3s4_err;
1028
wire                    m3s4_rty;
1029
wire    [dw-1:0] m3s5_data_i;
1030
wire    [dw-1:0] m3s5_data_o;
1031
wire    [aw-1:0] m3s5_addr;
1032
wire    [sw-1:0] m3s5_sel;
1033
wire                    m3s5_we;
1034
wire                    m3s5_cyc;
1035
wire                    m3s5_stb;
1036
wire                    m3s5_ack;
1037
wire                    m3s5_err;
1038
wire                    m3s5_rty;
1039
wire    [dw-1:0] m3s6_data_i;
1040
wire    [dw-1:0] m3s6_data_o;
1041
wire    [aw-1:0] m3s6_addr;
1042
wire    [sw-1:0] m3s6_sel;
1043
wire                    m3s6_we;
1044
wire                    m3s6_cyc;
1045
wire                    m3s6_stb;
1046
wire                    m3s6_ack;
1047
wire                    m3s6_err;
1048
wire                    m3s6_rty;
1049
wire    [dw-1:0] m3s7_data_i;
1050
wire    [dw-1:0] m3s7_data_o;
1051
wire    [aw-1:0] m3s7_addr;
1052
wire    [sw-1:0] m3s7_sel;
1053
wire                    m3s7_we;
1054
wire                    m3s7_cyc;
1055
wire                    m3s7_stb;
1056
wire                    m3s7_ack;
1057
wire                    m3s7_err;
1058
wire                    m3s7_rty;
1059
wire    [dw-1:0] m3s8_data_i;
1060
wire    [dw-1:0] m3s8_data_o;
1061
wire    [aw-1:0] m3s8_addr;
1062
wire    [sw-1:0] m3s8_sel;
1063
wire                    m3s8_we;
1064
wire                    m3s8_cyc;
1065
wire                    m3s8_stb;
1066
wire                    m3s8_ack;
1067
wire                    m3s8_err;
1068
wire                    m3s8_rty;
1069
wire    [dw-1:0] m3s9_data_i;
1070
wire    [dw-1:0] m3s9_data_o;
1071
wire    [aw-1:0] m3s9_addr;
1072
wire    [sw-1:0] m3s9_sel;
1073
wire                    m3s9_we;
1074
wire                    m3s9_cyc;
1075
wire                    m3s9_stb;
1076
wire                    m3s9_ack;
1077
wire                    m3s9_err;
1078
wire                    m3s9_rty;
1079
wire    [dw-1:0] m3s10_data_i;
1080
wire    [dw-1:0] m3s10_data_o;
1081
wire    [aw-1:0] m3s10_addr;
1082
wire    [sw-1:0] m3s10_sel;
1083
wire                    m3s10_we;
1084
wire                    m3s10_cyc;
1085
wire                    m3s10_stb;
1086
wire                    m3s10_ack;
1087
wire                    m3s10_err;
1088
wire                    m3s10_rty;
1089
wire    [dw-1:0] m3s11_data_i;
1090
wire    [dw-1:0] m3s11_data_o;
1091
wire    [aw-1:0] m3s11_addr;
1092
wire    [sw-1:0] m3s11_sel;
1093
wire                    m3s11_we;
1094
wire                    m3s11_cyc;
1095
wire                    m3s11_stb;
1096
wire                    m3s11_ack;
1097
wire                    m3s11_err;
1098
wire                    m3s11_rty;
1099
wire    [dw-1:0] m3s12_data_i;
1100
wire    [dw-1:0] m3s12_data_o;
1101
wire    [aw-1:0] m3s12_addr;
1102
wire    [sw-1:0] m3s12_sel;
1103
wire                    m3s12_we;
1104
wire                    m3s12_cyc;
1105
wire                    m3s12_stb;
1106
wire                    m3s12_ack;
1107
wire                    m3s12_err;
1108
wire                    m3s12_rty;
1109
wire    [dw-1:0] m3s13_data_i;
1110
wire    [dw-1:0] m3s13_data_o;
1111
wire    [aw-1:0] m3s13_addr;
1112
wire    [sw-1:0] m3s13_sel;
1113
wire                    m3s13_we;
1114
wire                    m3s13_cyc;
1115
wire                    m3s13_stb;
1116
wire                    m3s13_ack;
1117
wire                    m3s13_err;
1118
wire                    m3s13_rty;
1119
wire    [dw-1:0] m3s14_data_i;
1120
wire    [dw-1:0] m3s14_data_o;
1121
wire    [aw-1:0] m3s14_addr;
1122
wire    [sw-1:0] m3s14_sel;
1123
wire                    m3s14_we;
1124
wire                    m3s14_cyc;
1125
wire                    m3s14_stb;
1126
wire                    m3s14_ack;
1127
wire                    m3s14_err;
1128
wire                    m3s14_rty;
1129
wire    [dw-1:0] m3s15_data_i;
1130
wire    [dw-1:0] m3s15_data_o;
1131
wire    [aw-1:0] m3s15_addr;
1132
wire    [sw-1:0] m3s15_sel;
1133
wire                    m3s15_we;
1134
wire                    m3s15_cyc;
1135
wire                    m3s15_stb;
1136
wire                    m3s15_ack;
1137
wire                    m3s15_err;
1138
wire                    m3s15_rty;
1139
wire    [dw-1:0] m4s0_data_i;
1140
wire    [dw-1:0] m4s0_data_o;
1141
wire    [aw-1:0] m4s0_addr;
1142
wire    [sw-1:0] m4s0_sel;
1143
wire                    m4s0_we;
1144
wire                    m4s0_cyc;
1145
wire                    m4s0_stb;
1146
wire                    m4s0_ack;
1147
wire                    m4s0_err;
1148
wire                    m4s0_rty;
1149
wire    [dw-1:0] m4s1_data_i;
1150
wire    [dw-1:0] m4s1_data_o;
1151
wire    [aw-1:0] m4s1_addr;
1152
wire    [sw-1:0] m4s1_sel;
1153
wire                    m4s1_we;
1154
wire                    m4s1_cyc;
1155
wire                    m4s1_stb;
1156
wire                    m4s1_ack;
1157
wire                    m4s1_err;
1158
wire                    m4s1_rty;
1159
wire    [dw-1:0] m4s2_data_i;
1160
wire    [dw-1:0] m4s2_data_o;
1161
wire    [aw-1:0] m4s2_addr;
1162
wire    [sw-1:0] m4s2_sel;
1163
wire                    m4s2_we;
1164
wire                    m4s2_cyc;
1165
wire                    m4s2_stb;
1166
wire                    m4s2_ack;
1167
wire                    m4s2_err;
1168
wire                    m4s2_rty;
1169
wire    [dw-1:0] m4s3_data_i;
1170
wire    [dw-1:0] m4s3_data_o;
1171
wire    [aw-1:0] m4s3_addr;
1172
wire    [sw-1:0] m4s3_sel;
1173
wire                    m4s3_we;
1174
wire                    m4s3_cyc;
1175
wire                    m4s3_stb;
1176
wire                    m4s3_ack;
1177
wire                    m4s3_err;
1178
wire                    m4s3_rty;
1179
wire    [dw-1:0] m4s4_data_i;
1180
wire    [dw-1:0] m4s4_data_o;
1181
wire    [aw-1:0] m4s4_addr;
1182
wire    [sw-1:0] m4s4_sel;
1183
wire                    m4s4_we;
1184
wire                    m4s4_cyc;
1185
wire                    m4s4_stb;
1186
wire                    m4s4_ack;
1187
wire                    m4s4_err;
1188
wire                    m4s4_rty;
1189
wire    [dw-1:0] m4s5_data_i;
1190
wire    [dw-1:0] m4s5_data_o;
1191
wire    [aw-1:0] m4s5_addr;
1192
wire    [sw-1:0] m4s5_sel;
1193
wire                    m4s5_we;
1194
wire                    m4s5_cyc;
1195
wire                    m4s5_stb;
1196
wire                    m4s5_ack;
1197
wire                    m4s5_err;
1198
wire                    m4s5_rty;
1199
wire    [dw-1:0] m4s6_data_i;
1200
wire    [dw-1:0] m4s6_data_o;
1201
wire    [aw-1:0] m4s6_addr;
1202
wire    [sw-1:0] m4s6_sel;
1203
wire                    m4s6_we;
1204
wire                    m4s6_cyc;
1205
wire                    m4s6_stb;
1206
wire                    m4s6_ack;
1207
wire                    m4s6_err;
1208
wire                    m4s6_rty;
1209
wire    [dw-1:0] m4s7_data_i;
1210
wire    [dw-1:0] m4s7_data_o;
1211
wire    [aw-1:0] m4s7_addr;
1212
wire    [sw-1:0] m4s7_sel;
1213
wire                    m4s7_we;
1214
wire                    m4s7_cyc;
1215
wire                    m4s7_stb;
1216
wire                    m4s7_ack;
1217
wire                    m4s7_err;
1218
wire                    m4s7_rty;
1219
wire    [dw-1:0] m4s8_data_i;
1220
wire    [dw-1:0] m4s8_data_o;
1221
wire    [aw-1:0] m4s8_addr;
1222
wire    [sw-1:0] m4s8_sel;
1223
wire                    m4s8_we;
1224
wire                    m4s8_cyc;
1225
wire                    m4s8_stb;
1226
wire                    m4s8_ack;
1227
wire                    m4s8_err;
1228
wire                    m4s8_rty;
1229
wire    [dw-1:0] m4s9_data_i;
1230
wire    [dw-1:0] m4s9_data_o;
1231
wire    [aw-1:0] m4s9_addr;
1232
wire    [sw-1:0] m4s9_sel;
1233
wire                    m4s9_we;
1234
wire                    m4s9_cyc;
1235
wire                    m4s9_stb;
1236
wire                    m4s9_ack;
1237
wire                    m4s9_err;
1238
wire                    m4s9_rty;
1239
wire    [dw-1:0] m4s10_data_i;
1240
wire    [dw-1:0] m4s10_data_o;
1241
wire    [aw-1:0] m4s10_addr;
1242
wire    [sw-1:0] m4s10_sel;
1243
wire                    m4s10_we;
1244
wire                    m4s10_cyc;
1245
wire                    m4s10_stb;
1246
wire                    m4s10_ack;
1247
wire                    m4s10_err;
1248
wire                    m4s10_rty;
1249
wire    [dw-1:0] m4s11_data_i;
1250
wire    [dw-1:0] m4s11_data_o;
1251
wire    [aw-1:0] m4s11_addr;
1252
wire    [sw-1:0] m4s11_sel;
1253
wire                    m4s11_we;
1254
wire                    m4s11_cyc;
1255
wire                    m4s11_stb;
1256
wire                    m4s11_ack;
1257
wire                    m4s11_err;
1258
wire                    m4s11_rty;
1259
wire    [dw-1:0] m4s12_data_i;
1260
wire    [dw-1:0] m4s12_data_o;
1261
wire    [aw-1:0] m4s12_addr;
1262
wire    [sw-1:0] m4s12_sel;
1263
wire                    m4s12_we;
1264
wire                    m4s12_cyc;
1265
wire                    m4s12_stb;
1266
wire                    m4s12_ack;
1267
wire                    m4s12_err;
1268
wire                    m4s12_rty;
1269
wire    [dw-1:0] m4s13_data_i;
1270
wire    [dw-1:0] m4s13_data_o;
1271
wire    [aw-1:0] m4s13_addr;
1272
wire    [sw-1:0] m4s13_sel;
1273
wire                    m4s13_we;
1274
wire                    m4s13_cyc;
1275
wire                    m4s13_stb;
1276
wire                    m4s13_ack;
1277
wire                    m4s13_err;
1278
wire                    m4s13_rty;
1279
wire    [dw-1:0] m4s14_data_i;
1280
wire    [dw-1:0] m4s14_data_o;
1281
wire    [aw-1:0] m4s14_addr;
1282
wire    [sw-1:0] m4s14_sel;
1283
wire                    m4s14_we;
1284
wire                    m4s14_cyc;
1285
wire                    m4s14_stb;
1286
wire                    m4s14_ack;
1287
wire                    m4s14_err;
1288
wire                    m4s14_rty;
1289
wire    [dw-1:0] m4s15_data_i;
1290
wire    [dw-1:0] m4s15_data_o;
1291
wire    [aw-1:0] m4s15_addr;
1292
wire    [sw-1:0] m4s15_sel;
1293
wire                    m4s15_we;
1294
wire                    m4s15_cyc;
1295
wire                    m4s15_stb;
1296
wire                    m4s15_ack;
1297
wire                    m4s15_err;
1298
wire                    m4s15_rty;
1299
wire    [dw-1:0] m5s0_data_i;
1300
wire    [dw-1:0] m5s0_data_o;
1301
wire    [aw-1:0] m5s0_addr;
1302
wire    [sw-1:0] m5s0_sel;
1303
wire                    m5s0_we;
1304
wire                    m5s0_cyc;
1305
wire                    m5s0_stb;
1306
wire                    m5s0_ack;
1307
wire                    m5s0_err;
1308
wire                    m5s0_rty;
1309
wire    [dw-1:0] m5s1_data_i;
1310
wire    [dw-1:0] m5s1_data_o;
1311
wire    [aw-1:0] m5s1_addr;
1312
wire    [sw-1:0] m5s1_sel;
1313
wire                    m5s1_we;
1314
wire                    m5s1_cyc;
1315
wire                    m5s1_stb;
1316
wire                    m5s1_ack;
1317
wire                    m5s1_err;
1318
wire                    m5s1_rty;
1319
wire    [dw-1:0] m5s2_data_i;
1320
wire    [dw-1:0] m5s2_data_o;
1321
wire    [aw-1:0] m5s2_addr;
1322
wire    [sw-1:0] m5s2_sel;
1323
wire                    m5s2_we;
1324
wire                    m5s2_cyc;
1325
wire                    m5s2_stb;
1326
wire                    m5s2_ack;
1327
wire                    m5s2_err;
1328
wire                    m5s2_rty;
1329
wire    [dw-1:0] m5s3_data_i;
1330
wire    [dw-1:0] m5s3_data_o;
1331
wire    [aw-1:0] m5s3_addr;
1332
wire    [sw-1:0] m5s3_sel;
1333
wire                    m5s3_we;
1334
wire                    m5s3_cyc;
1335
wire                    m5s3_stb;
1336
wire                    m5s3_ack;
1337
wire                    m5s3_err;
1338
wire                    m5s3_rty;
1339
wire    [dw-1:0] m5s4_data_i;
1340
wire    [dw-1:0] m5s4_data_o;
1341
wire    [aw-1:0] m5s4_addr;
1342
wire    [sw-1:0] m5s4_sel;
1343
wire                    m5s4_we;
1344
wire                    m5s4_cyc;
1345
wire                    m5s4_stb;
1346
wire                    m5s4_ack;
1347
wire                    m5s4_err;
1348
wire                    m5s4_rty;
1349
wire    [dw-1:0] m5s5_data_i;
1350
wire    [dw-1:0] m5s5_data_o;
1351
wire    [aw-1:0] m5s5_addr;
1352
wire    [sw-1:0] m5s5_sel;
1353
wire                    m5s5_we;
1354
wire                    m5s5_cyc;
1355
wire                    m5s5_stb;
1356
wire                    m5s5_ack;
1357
wire                    m5s5_err;
1358
wire                    m5s5_rty;
1359
wire    [dw-1:0] m5s6_data_i;
1360
wire    [dw-1:0] m5s6_data_o;
1361
wire    [aw-1:0] m5s6_addr;
1362
wire    [sw-1:0] m5s6_sel;
1363
wire                    m5s6_we;
1364
wire                    m5s6_cyc;
1365
wire                    m5s6_stb;
1366
wire                    m5s6_ack;
1367
wire                    m5s6_err;
1368
wire                    m5s6_rty;
1369
wire    [dw-1:0] m5s7_data_i;
1370
wire    [dw-1:0] m5s7_data_o;
1371
wire    [aw-1:0] m5s7_addr;
1372
wire    [sw-1:0] m5s7_sel;
1373
wire                    m5s7_we;
1374
wire                    m5s7_cyc;
1375
wire                    m5s7_stb;
1376
wire                    m5s7_ack;
1377
wire                    m5s7_err;
1378
wire                    m5s7_rty;
1379
wire    [dw-1:0] m5s8_data_i;
1380
wire    [dw-1:0] m5s8_data_o;
1381
wire    [aw-1:0] m5s8_addr;
1382
wire    [sw-1:0] m5s8_sel;
1383
wire                    m5s8_we;
1384
wire                    m5s8_cyc;
1385
wire                    m5s8_stb;
1386
wire                    m5s8_ack;
1387
wire                    m5s8_err;
1388
wire                    m5s8_rty;
1389
wire    [dw-1:0] m5s9_data_i;
1390
wire    [dw-1:0] m5s9_data_o;
1391
wire    [aw-1:0] m5s9_addr;
1392
wire    [sw-1:0] m5s9_sel;
1393
wire                    m5s9_we;
1394
wire                    m5s9_cyc;
1395
wire                    m5s9_stb;
1396
wire                    m5s9_ack;
1397
wire                    m5s9_err;
1398
wire                    m5s9_rty;
1399
wire    [dw-1:0] m5s10_data_i;
1400
wire    [dw-1:0] m5s10_data_o;
1401
wire    [aw-1:0] m5s10_addr;
1402
wire    [sw-1:0] m5s10_sel;
1403
wire                    m5s10_we;
1404
wire                    m5s10_cyc;
1405
wire                    m5s10_stb;
1406
wire                    m5s10_ack;
1407
wire                    m5s10_err;
1408
wire                    m5s10_rty;
1409
wire    [dw-1:0] m5s11_data_i;
1410
wire    [dw-1:0] m5s11_data_o;
1411
wire    [aw-1:0] m5s11_addr;
1412
wire    [sw-1:0] m5s11_sel;
1413
wire                    m5s11_we;
1414
wire                    m5s11_cyc;
1415
wire                    m5s11_stb;
1416
wire                    m5s11_ack;
1417
wire                    m5s11_err;
1418
wire                    m5s11_rty;
1419
wire    [dw-1:0] m5s12_data_i;
1420
wire    [dw-1:0] m5s12_data_o;
1421
wire    [aw-1:0] m5s12_addr;
1422
wire    [sw-1:0] m5s12_sel;
1423
wire                    m5s12_we;
1424
wire                    m5s12_cyc;
1425
wire                    m5s12_stb;
1426
wire                    m5s12_ack;
1427
wire                    m5s12_err;
1428
wire                    m5s12_rty;
1429
wire    [dw-1:0] m5s13_data_i;
1430
wire    [dw-1:0] m5s13_data_o;
1431
wire    [aw-1:0] m5s13_addr;
1432
wire    [sw-1:0] m5s13_sel;
1433
wire                    m5s13_we;
1434
wire                    m5s13_cyc;
1435
wire                    m5s13_stb;
1436
wire                    m5s13_ack;
1437
wire                    m5s13_err;
1438
wire                    m5s13_rty;
1439
wire    [dw-1:0] m5s14_data_i;
1440
wire    [dw-1:0] m5s14_data_o;
1441
wire    [aw-1:0] m5s14_addr;
1442
wire    [sw-1:0] m5s14_sel;
1443
wire                    m5s14_we;
1444
wire                    m5s14_cyc;
1445
wire                    m5s14_stb;
1446
wire                    m5s14_ack;
1447
wire                    m5s14_err;
1448
wire                    m5s14_rty;
1449
wire    [dw-1:0] m5s15_data_i;
1450
wire    [dw-1:0] m5s15_data_o;
1451
wire    [aw-1:0] m5s15_addr;
1452
wire    [sw-1:0] m5s15_sel;
1453
wire                    m5s15_we;
1454
wire                    m5s15_cyc;
1455
wire                    m5s15_stb;
1456
wire                    m5s15_ack;
1457
wire                    m5s15_err;
1458
wire                    m5s15_rty;
1459
wire    [dw-1:0] m6s0_data_i;
1460
wire    [dw-1:0] m6s0_data_o;
1461
wire    [aw-1:0] m6s0_addr;
1462
wire    [sw-1:0] m6s0_sel;
1463
wire                    m6s0_we;
1464
wire                    m6s0_cyc;
1465
wire                    m6s0_stb;
1466
wire                    m6s0_ack;
1467
wire                    m6s0_err;
1468
wire                    m6s0_rty;
1469
wire    [dw-1:0] m6s1_data_i;
1470
wire    [dw-1:0] m6s1_data_o;
1471
wire    [aw-1:0] m6s1_addr;
1472
wire    [sw-1:0] m6s1_sel;
1473
wire                    m6s1_we;
1474
wire                    m6s1_cyc;
1475
wire                    m6s1_stb;
1476
wire                    m6s1_ack;
1477
wire                    m6s1_err;
1478
wire                    m6s1_rty;
1479
wire    [dw-1:0] m6s2_data_i;
1480
wire    [dw-1:0] m6s2_data_o;
1481
wire    [aw-1:0] m6s2_addr;
1482
wire    [sw-1:0] m6s2_sel;
1483
wire                    m6s2_we;
1484
wire                    m6s2_cyc;
1485
wire                    m6s2_stb;
1486
wire                    m6s2_ack;
1487
wire                    m6s2_err;
1488
wire                    m6s2_rty;
1489
wire    [dw-1:0] m6s3_data_i;
1490
wire    [dw-1:0] m6s3_data_o;
1491
wire    [aw-1:0] m6s3_addr;
1492
wire    [sw-1:0] m6s3_sel;
1493
wire                    m6s3_we;
1494
wire                    m6s3_cyc;
1495
wire                    m6s3_stb;
1496
wire                    m6s3_ack;
1497
wire                    m6s3_err;
1498
wire                    m6s3_rty;
1499
wire    [dw-1:0] m6s4_data_i;
1500
wire    [dw-1:0] m6s4_data_o;
1501
wire    [aw-1:0] m6s4_addr;
1502
wire    [sw-1:0] m6s4_sel;
1503
wire                    m6s4_we;
1504
wire                    m6s4_cyc;
1505
wire                    m6s4_stb;
1506
wire                    m6s4_ack;
1507
wire                    m6s4_err;
1508
wire                    m6s4_rty;
1509
wire    [dw-1:0] m6s5_data_i;
1510
wire    [dw-1:0] m6s5_data_o;
1511
wire    [aw-1:0] m6s5_addr;
1512
wire    [sw-1:0] m6s5_sel;
1513
wire                    m6s5_we;
1514
wire                    m6s5_cyc;
1515
wire                    m6s5_stb;
1516
wire                    m6s5_ack;
1517
wire                    m6s5_err;
1518
wire                    m6s5_rty;
1519
wire    [dw-1:0] m6s6_data_i;
1520
wire    [dw-1:0] m6s6_data_o;
1521
wire    [aw-1:0] m6s6_addr;
1522
wire    [sw-1:0] m6s6_sel;
1523
wire                    m6s6_we;
1524
wire                    m6s6_cyc;
1525
wire                    m6s6_stb;
1526
wire                    m6s6_ack;
1527
wire                    m6s6_err;
1528
wire                    m6s6_rty;
1529
wire    [dw-1:0] m6s7_data_i;
1530
wire    [dw-1:0] m6s7_data_o;
1531
wire    [aw-1:0] m6s7_addr;
1532
wire    [sw-1:0] m6s7_sel;
1533
wire                    m6s7_we;
1534
wire                    m6s7_cyc;
1535
wire                    m6s7_stb;
1536
wire                    m6s7_ack;
1537
wire                    m6s7_err;
1538
wire                    m6s7_rty;
1539
wire    [dw-1:0] m6s8_data_i;
1540
wire    [dw-1:0] m6s8_data_o;
1541
wire    [aw-1:0] m6s8_addr;
1542
wire    [sw-1:0] m6s8_sel;
1543
wire                    m6s8_we;
1544
wire                    m6s8_cyc;
1545
wire                    m6s8_stb;
1546
wire                    m6s8_ack;
1547
wire                    m6s8_err;
1548
wire                    m6s8_rty;
1549
wire    [dw-1:0] m6s9_data_i;
1550
wire    [dw-1:0] m6s9_data_o;
1551
wire    [aw-1:0] m6s9_addr;
1552
wire    [sw-1:0] m6s9_sel;
1553
wire                    m6s9_we;
1554
wire                    m6s9_cyc;
1555
wire                    m6s9_stb;
1556
wire                    m6s9_ack;
1557
wire                    m6s9_err;
1558
wire                    m6s9_rty;
1559
wire    [dw-1:0] m6s10_data_i;
1560
wire    [dw-1:0] m6s10_data_o;
1561
wire    [aw-1:0] m6s10_addr;
1562
wire    [sw-1:0] m6s10_sel;
1563
wire                    m6s10_we;
1564
wire                    m6s10_cyc;
1565
wire                    m6s10_stb;
1566
wire                    m6s10_ack;
1567
wire                    m6s10_err;
1568
wire                    m6s10_rty;
1569
wire    [dw-1:0] m6s11_data_i;
1570
wire    [dw-1:0] m6s11_data_o;
1571
wire    [aw-1:0] m6s11_addr;
1572
wire    [sw-1:0] m6s11_sel;
1573
wire                    m6s11_we;
1574
wire                    m6s11_cyc;
1575
wire                    m6s11_stb;
1576
wire                    m6s11_ack;
1577
wire                    m6s11_err;
1578
wire                    m6s11_rty;
1579
wire    [dw-1:0] m6s12_data_i;
1580
wire    [dw-1:0] m6s12_data_o;
1581
wire    [aw-1:0] m6s12_addr;
1582
wire    [sw-1:0] m6s12_sel;
1583
wire                    m6s12_we;
1584
wire                    m6s12_cyc;
1585
wire                    m6s12_stb;
1586
wire                    m6s12_ack;
1587
wire                    m6s12_err;
1588
wire                    m6s12_rty;
1589
wire    [dw-1:0] m6s13_data_i;
1590
wire    [dw-1:0] m6s13_data_o;
1591
wire    [aw-1:0] m6s13_addr;
1592
wire    [sw-1:0] m6s13_sel;
1593
wire                    m6s13_we;
1594
wire                    m6s13_cyc;
1595
wire                    m6s13_stb;
1596
wire                    m6s13_ack;
1597
wire                    m6s13_err;
1598
wire                    m6s13_rty;
1599
wire    [dw-1:0] m6s14_data_i;
1600
wire    [dw-1:0] m6s14_data_o;
1601
wire    [aw-1:0] m6s14_addr;
1602
wire    [sw-1:0] m6s14_sel;
1603
wire                    m6s14_we;
1604
wire                    m6s14_cyc;
1605
wire                    m6s14_stb;
1606
wire                    m6s14_ack;
1607
wire                    m6s14_err;
1608
wire                    m6s14_rty;
1609
wire    [dw-1:0] m6s15_data_i;
1610
wire    [dw-1:0] m6s15_data_o;
1611
wire    [aw-1:0] m6s15_addr;
1612
wire    [sw-1:0] m6s15_sel;
1613
wire                    m6s15_we;
1614
wire                    m6s15_cyc;
1615
wire                    m6s15_stb;
1616
wire                    m6s15_ack;
1617
wire                    m6s15_err;
1618
wire                    m6s15_rty;
1619
wire    [dw-1:0] m7s0_data_i;
1620
wire    [dw-1:0] m7s0_data_o;
1621
wire    [aw-1:0] m7s0_addr;
1622
wire    [sw-1:0] m7s0_sel;
1623
wire                    m7s0_we;
1624
wire                    m7s0_cyc;
1625
wire                    m7s0_stb;
1626
wire                    m7s0_ack;
1627
wire                    m7s0_err;
1628
wire                    m7s0_rty;
1629
wire    [dw-1:0] m7s1_data_i;
1630
wire    [dw-1:0] m7s1_data_o;
1631
wire    [aw-1:0] m7s1_addr;
1632
wire    [sw-1:0] m7s1_sel;
1633
wire                    m7s1_we;
1634
wire                    m7s1_cyc;
1635
wire                    m7s1_stb;
1636
wire                    m7s1_ack;
1637
wire                    m7s1_err;
1638
wire                    m7s1_rty;
1639
wire    [dw-1:0] m7s2_data_i;
1640
wire    [dw-1:0] m7s2_data_o;
1641
wire    [aw-1:0] m7s2_addr;
1642
wire    [sw-1:0] m7s2_sel;
1643
wire                    m7s2_we;
1644
wire                    m7s2_cyc;
1645
wire                    m7s2_stb;
1646
wire                    m7s2_ack;
1647
wire                    m7s2_err;
1648
wire                    m7s2_rty;
1649
wire    [dw-1:0] m7s3_data_i;
1650
wire    [dw-1:0] m7s3_data_o;
1651
wire    [aw-1:0] m7s3_addr;
1652
wire    [sw-1:0] m7s3_sel;
1653
wire                    m7s3_we;
1654
wire                    m7s3_cyc;
1655
wire                    m7s3_stb;
1656
wire                    m7s3_ack;
1657
wire                    m7s3_err;
1658
wire                    m7s3_rty;
1659
wire    [dw-1:0] m7s4_data_i;
1660
wire    [dw-1:0] m7s4_data_o;
1661
wire    [aw-1:0] m7s4_addr;
1662
wire    [sw-1:0] m7s4_sel;
1663
wire                    m7s4_we;
1664
wire                    m7s4_cyc;
1665
wire                    m7s4_stb;
1666
wire                    m7s4_ack;
1667
wire                    m7s4_err;
1668
wire                    m7s4_rty;
1669
wire    [dw-1:0] m7s5_data_i;
1670
wire    [dw-1:0] m7s5_data_o;
1671
wire    [aw-1:0] m7s5_addr;
1672
wire    [sw-1:0] m7s5_sel;
1673
wire                    m7s5_we;
1674
wire                    m7s5_cyc;
1675
wire                    m7s5_stb;
1676
wire                    m7s5_ack;
1677
wire                    m7s5_err;
1678
wire                    m7s5_rty;
1679
wire    [dw-1:0] m7s6_data_i;
1680
wire    [dw-1:0] m7s6_data_o;
1681
wire    [aw-1:0] m7s6_addr;
1682
wire    [sw-1:0] m7s6_sel;
1683
wire                    m7s6_we;
1684
wire                    m7s6_cyc;
1685
wire                    m7s6_stb;
1686
wire                    m7s6_ack;
1687
wire                    m7s6_err;
1688
wire                    m7s6_rty;
1689
wire    [dw-1:0] m7s7_data_i;
1690
wire    [dw-1:0] m7s7_data_o;
1691
wire    [aw-1:0] m7s7_addr;
1692
wire    [sw-1:0] m7s7_sel;
1693
wire                    m7s7_we;
1694
wire                    m7s7_cyc;
1695
wire                    m7s7_stb;
1696
wire                    m7s7_ack;
1697
wire                    m7s7_err;
1698
wire                    m7s7_rty;
1699
wire    [dw-1:0] m7s8_data_i;
1700
wire    [dw-1:0] m7s8_data_o;
1701
wire    [aw-1:0] m7s8_addr;
1702
wire    [sw-1:0] m7s8_sel;
1703
wire                    m7s8_we;
1704
wire                    m7s8_cyc;
1705
wire                    m7s8_stb;
1706
wire                    m7s8_ack;
1707
wire                    m7s8_err;
1708
wire                    m7s8_rty;
1709
wire    [dw-1:0] m7s9_data_i;
1710
wire    [dw-1:0] m7s9_data_o;
1711
wire    [aw-1:0] m7s9_addr;
1712
wire    [sw-1:0] m7s9_sel;
1713
wire                    m7s9_we;
1714
wire                    m7s9_cyc;
1715
wire                    m7s9_stb;
1716
wire                    m7s9_ack;
1717
wire                    m7s9_err;
1718
wire                    m7s9_rty;
1719
wire    [dw-1:0] m7s10_data_i;
1720
wire    [dw-1:0] m7s10_data_o;
1721
wire    [aw-1:0] m7s10_addr;
1722
wire    [sw-1:0] m7s10_sel;
1723
wire                    m7s10_we;
1724
wire                    m7s10_cyc;
1725
wire                    m7s10_stb;
1726
wire                    m7s10_ack;
1727
wire                    m7s10_err;
1728
wire                    m7s10_rty;
1729
wire    [dw-1:0] m7s11_data_i;
1730
wire    [dw-1:0] m7s11_data_o;
1731
wire    [aw-1:0] m7s11_addr;
1732
wire    [sw-1:0] m7s11_sel;
1733
wire                    m7s11_we;
1734
wire                    m7s11_cyc;
1735
wire                    m7s11_stb;
1736
wire                    m7s11_ack;
1737
wire                    m7s11_err;
1738
wire                    m7s11_rty;
1739
wire    [dw-1:0] m7s12_data_i;
1740
wire    [dw-1:0] m7s12_data_o;
1741
wire    [aw-1:0] m7s12_addr;
1742
wire    [sw-1:0] m7s12_sel;
1743
wire                    m7s12_we;
1744
wire                    m7s12_cyc;
1745
wire                    m7s12_stb;
1746
wire                    m7s12_ack;
1747
wire                    m7s12_err;
1748
wire                    m7s12_rty;
1749
wire    [dw-1:0] m7s13_data_i;
1750
wire    [dw-1:0] m7s13_data_o;
1751
wire    [aw-1:0] m7s13_addr;
1752
wire    [sw-1:0] m7s13_sel;
1753
wire                    m7s13_we;
1754
wire                    m7s13_cyc;
1755
wire                    m7s13_stb;
1756
wire                    m7s13_ack;
1757
wire                    m7s13_err;
1758
wire                    m7s13_rty;
1759
wire    [dw-1:0] m7s14_data_i;
1760
wire    [dw-1:0] m7s14_data_o;
1761
wire    [aw-1:0] m7s14_addr;
1762
wire    [sw-1:0] m7s14_sel;
1763
wire                    m7s14_we;
1764
wire                    m7s14_cyc;
1765
wire                    m7s14_stb;
1766
wire                    m7s14_ack;
1767
wire                    m7s14_err;
1768
wire                    m7s14_rty;
1769
wire    [dw-1:0] m7s15_data_i;
1770
wire    [dw-1:0] m7s15_data_o;
1771
wire    [aw-1:0] m7s15_addr;
1772
wire    [sw-1:0] m7s15_sel;
1773
wire                    m7s15_we;
1774
wire                    m7s15_cyc;
1775
wire                    m7s15_stb;
1776
wire                    m7s15_ack;
1777
wire                    m7s15_err;
1778
wire                    m7s15_rty;
1779
 
1780
wire    [15:0]           conf0;
1781
wire    [15:0]           conf1;
1782
wire    [15:0]           conf2;
1783
wire    [15:0]           conf3;
1784
wire    [15:0]           conf4;
1785
wire    [15:0]           conf5;
1786
wire    [15:0]           conf6;
1787
wire    [15:0]           conf7;
1788
wire    [15:0]           conf8;
1789
wire    [15:0]           conf9;
1790
wire    [15:0]           conf10;
1791
wire    [15:0]           conf11;
1792
wire    [15:0]           conf12;
1793
wire    [15:0]           conf13;
1794
wire    [15:0]           conf14;
1795
wire    [15:0]           conf15;
1796
 
1797
////////////////////////////////////////////////////////////////////
1798
//
1799
// Initial Configuration Check
1800
//
1801
 
1802
// synopsys translate_off
1803
initial
1804
   begin
1805
        if(dw<16)
1806
           begin
1807
                $display("ERROR: Setting Data bus width to less than 16 bits, will");
1808
                $display("       make it impossible to use the configurations registers.");
1809
                $finish;
1810
           end
1811
   end
1812
// synopsys translate_on
1813
 
1814
////////////////////////////////////////////////////////////////////
1815
//
1816
// Master Interfaces
1817
//
1818
 
1819
wb_conmax_master_if #(dw,aw,sw) m0(
1820
                .clk_i(         clk_i           ),
1821
                .rst_i(         rst_i           ),
1822
                .wb_data_i(     m0_data_i       ),
1823
                .wb_data_o(     m0_data_o       ),
1824
                .wb_addr_i(     m0_addr_i       ),
1825
                .wb_sel_i(      m0_sel_i        ),
1826
                .wb_we_i(       m0_we_i         ),
1827
                .wb_cyc_i(      m0_cyc_i        ),
1828
                .wb_stb_i(      m0_stb_i        ),
1829
                .wb_ack_o(      m0_ack_o        ),
1830
                .wb_err_o(      m0_err_o        ),
1831
                .wb_rty_o(      m0_rty_o        ),
1832
                .s0_data_i(     m0s0_data_i     ),
1833
                .s0_data_o(     m0s0_data_o     ),
1834
                .s0_addr_o(     m0s0_addr       ),
1835
                .s0_sel_o(      m0s0_sel        ),
1836
                .s0_we_o(       m0s0_we         ),
1837
                .s0_cyc_o(      m0s0_cyc        ),
1838
                .s0_stb_o(      m0s0_stb        ),
1839
                .s0_ack_i(      m0s0_ack        ),
1840
                .s0_err_i(      m0s0_err        ),
1841
                .s0_rty_i(      m0s0_rty        ),
1842
                .s1_data_i(     m0s1_data_i     ),
1843
                .s1_data_o(     m0s1_data_o     ),
1844
                .s1_addr_o(     m0s1_addr       ),
1845
                .s1_sel_o(      m0s1_sel        ),
1846
                .s1_we_o(       m0s1_we         ),
1847
                .s1_cyc_o(      m0s1_cyc        ),
1848
                .s1_stb_o(      m0s1_stb        ),
1849
                .s1_ack_i(      m0s1_ack        ),
1850
                .s1_err_i(      m0s1_err        ),
1851
                .s1_rty_i(      m0s1_rty        ),
1852
                .s2_data_i(     m0s2_data_i     ),
1853
                .s2_data_o(     m0s2_data_o     ),
1854
                .s2_addr_o(     m0s2_addr       ),
1855
                .s2_sel_o(      m0s2_sel        ),
1856
                .s2_we_o(       m0s2_we         ),
1857
                .s2_cyc_o(      m0s2_cyc        ),
1858
                .s2_stb_o(      m0s2_stb        ),
1859
                .s2_ack_i(      m0s2_ack        ),
1860
                .s2_err_i(      m0s2_err        ),
1861
                .s2_rty_i(      m0s2_rty        ),
1862
                .s3_data_i(     m0s3_data_i     ),
1863
                .s3_data_o(     m0s3_data_o     ),
1864
                .s3_addr_o(     m0s3_addr       ),
1865
                .s3_sel_o(      m0s3_sel        ),
1866
                .s3_we_o(       m0s3_we         ),
1867
                .s3_cyc_o(      m0s3_cyc        ),
1868
                .s3_stb_o(      m0s3_stb        ),
1869
                .s3_ack_i(      m0s3_ack        ),
1870
                .s3_err_i(      m0s3_err        ),
1871
                .s3_rty_i(      m0s3_rty        ),
1872
                .s4_data_i(     m0s4_data_i     ),
1873
                .s4_data_o(     m0s4_data_o     ),
1874
                .s4_addr_o(     m0s4_addr       ),
1875
                .s4_sel_o(      m0s4_sel        ),
1876
                .s4_we_o(       m0s4_we         ),
1877
                .s4_cyc_o(      m0s4_cyc        ),
1878
                .s4_stb_o(      m0s4_stb        ),
1879
                .s4_ack_i(      m0s4_ack        ),
1880
                .s4_err_i(      m0s4_err        ),
1881
                .s4_rty_i(      m0s4_rty        ),
1882
                .s5_data_i(     m0s5_data_i     ),
1883
                .s5_data_o(     m0s5_data_o     ),
1884
                .s5_addr_o(     m0s5_addr       ),
1885
                .s5_sel_o(      m0s5_sel        ),
1886
                .s5_we_o(       m0s5_we         ),
1887
                .s5_cyc_o(      m0s5_cyc        ),
1888
                .s5_stb_o(      m0s5_stb        ),
1889
                .s5_ack_i(      m0s5_ack        ),
1890
                .s5_err_i(      m0s5_err        ),
1891
                .s5_rty_i(      m0s5_rty        ),
1892
                .s6_data_i(     m0s6_data_i     ),
1893
                .s6_data_o(     m0s6_data_o     ),
1894
                .s6_addr_o(     m0s6_addr       ),
1895
                .s6_sel_o(      m0s6_sel        ),
1896
                .s6_we_o(       m0s6_we         ),
1897
                .s6_cyc_o(      m0s6_cyc        ),
1898
                .s6_stb_o(      m0s6_stb        ),
1899
                .s6_ack_i(      m0s6_ack        ),
1900
                .s6_err_i(      m0s6_err        ),
1901
                .s6_rty_i(      m0s6_rty        ),
1902
                .s7_data_i(     m0s7_data_i     ),
1903
                .s7_data_o(     m0s7_data_o     ),
1904
                .s7_addr_o(     m0s7_addr       ),
1905
                .s7_sel_o(      m0s7_sel        ),
1906
                .s7_we_o(       m0s7_we         ),
1907
                .s7_cyc_o(      m0s7_cyc        ),
1908
                .s7_stb_o(      m0s7_stb        ),
1909
                .s7_ack_i(      m0s7_ack        ),
1910
                .s7_err_i(      m0s7_err        ),
1911
                .s7_rty_i(      m0s7_rty        ),
1912
                .s8_data_i(     m0s8_data_i     ),
1913
                .s8_data_o(     m0s8_data_o     ),
1914
                .s8_addr_o(     m0s8_addr       ),
1915
                .s8_sel_o(      m0s8_sel        ),
1916
                .s8_we_o(       m0s8_we         ),
1917
                .s8_cyc_o(      m0s8_cyc        ),
1918
                .s8_stb_o(      m0s8_stb        ),
1919
                .s8_ack_i(      m0s8_ack        ),
1920
                .s8_err_i(      m0s8_err        ),
1921
                .s8_rty_i(      m0s8_rty        ),
1922
                .s9_data_i(     m0s9_data_i     ),
1923
                .s9_data_o(     m0s9_data_o     ),
1924
                .s9_addr_o(     m0s9_addr       ),
1925
                .s9_sel_o(      m0s9_sel        ),
1926
                .s9_we_o(       m0s9_we         ),
1927
                .s9_cyc_o(      m0s9_cyc        ),
1928
                .s9_stb_o(      m0s9_stb        ),
1929
                .s9_ack_i(      m0s9_ack        ),
1930
                .s9_err_i(      m0s9_err        ),
1931
                .s9_rty_i(      m0s9_rty        ),
1932
                .s10_data_i(    m0s10_data_i    ),
1933
                .s10_data_o(    m0s10_data_o    ),
1934
                .s10_addr_o(    m0s10_addr      ),
1935
                .s10_sel_o(     m0s10_sel       ),
1936
                .s10_we_o(      m0s10_we        ),
1937
                .s10_cyc_o(     m0s10_cyc       ),
1938
                .s10_stb_o(     m0s10_stb       ),
1939
                .s10_ack_i(     m0s10_ack       ),
1940
                .s10_err_i(     m0s10_err       ),
1941
                .s10_rty_i(     m0s10_rty       ),
1942
                .s11_data_i(    m0s11_data_i    ),
1943
                .s11_data_o(    m0s11_data_o    ),
1944
                .s11_addr_o(    m0s11_addr      ),
1945
                .s11_sel_o(     m0s11_sel       ),
1946
                .s11_we_o(      m0s11_we        ),
1947
                .s11_cyc_o(     m0s11_cyc       ),
1948
                .s11_stb_o(     m0s11_stb       ),
1949
                .s11_ack_i(     m0s11_ack       ),
1950
                .s11_err_i(     m0s11_err       ),
1951
                .s11_rty_i(     m0s11_rty       ),
1952
                .s12_data_i(    m0s12_data_i    ),
1953
                .s12_data_o(    m0s12_data_o    ),
1954
                .s12_addr_o(    m0s12_addr      ),
1955
                .s12_sel_o(     m0s12_sel       ),
1956
                .s12_we_o(      m0s12_we        ),
1957
                .s12_cyc_o(     m0s12_cyc       ),
1958
                .s12_stb_o(     m0s12_stb       ),
1959
                .s12_ack_i(     m0s12_ack       ),
1960
                .s12_err_i(     m0s12_err       ),
1961
                .s12_rty_i(     m0s12_rty       ),
1962
                .s13_data_i(    m0s13_data_i    ),
1963
                .s13_data_o(    m0s13_data_o    ),
1964
                .s13_addr_o(    m0s13_addr      ),
1965
                .s13_sel_o(     m0s13_sel       ),
1966
                .s13_we_o(      m0s13_we        ),
1967
                .s13_cyc_o(     m0s13_cyc       ),
1968
                .s13_stb_o(     m0s13_stb       ),
1969
                .s13_ack_i(     m0s13_ack       ),
1970
                .s13_err_i(     m0s13_err       ),
1971
                .s13_rty_i(     m0s13_rty       ),
1972
                .s14_data_i(    m0s14_data_i    ),
1973
                .s14_data_o(    m0s14_data_o    ),
1974
                .s14_addr_o(    m0s14_addr      ),
1975
                .s14_sel_o(     m0s14_sel       ),
1976
                .s14_we_o(      m0s14_we        ),
1977
                .s14_cyc_o(     m0s14_cyc       ),
1978
                .s14_stb_o(     m0s14_stb       ),
1979
                .s14_ack_i(     m0s14_ack       ),
1980
                .s14_err_i(     m0s14_err       ),
1981
                .s14_rty_i(     m0s14_rty       ),
1982
                .s15_data_i(    m0s15_data_i    ),
1983
                .s15_data_o(    m0s15_data_o    ),
1984
                .s15_addr_o(    m0s15_addr      ),
1985
                .s15_sel_o(     m0s15_sel       ),
1986
                .s15_we_o(      m0s15_we        ),
1987
                .s15_cyc_o(     m0s15_cyc       ),
1988
                .s15_stb_o(     m0s15_stb       ),
1989
                .s15_ack_i(     m0s15_ack       ),
1990
                .s15_err_i(     m0s15_err       ),
1991
                .s15_rty_i(     m0s15_rty       )
1992
                );
1993
 
1994
wb_conmax_master_if #(dw,aw,sw) m1(
1995
                .clk_i(         clk_i           ),
1996
                .rst_i(         rst_i           ),
1997
                .wb_data_i(     m1_data_i       ),
1998
                .wb_data_o(     m1_data_o       ),
1999
                .wb_addr_i(     m1_addr_i       ),
2000
                .wb_sel_i(      m1_sel_i        ),
2001
                .wb_we_i(       m1_we_i         ),
2002
                .wb_cyc_i(      m1_cyc_i        ),
2003
                .wb_stb_i(      m1_stb_i        ),
2004
                .wb_ack_o(      m1_ack_o        ),
2005
                .wb_err_o(      m1_err_o        ),
2006
                .wb_rty_o(      m1_rty_o        ),
2007
                .s0_data_i(     m1s0_data_i      ),
2008
                .s0_data_o(     m1s0_data_o      ),
2009
                .s0_addr_o(     m1s0_addr        ),
2010
                .s0_sel_o(      m1s0_sel ),
2011
                .s0_we_o(       m1s0_we          ),
2012
                .s0_cyc_o(      m1s0_cyc ),
2013
                .s0_stb_o(      m1s0_stb ),
2014
                .s0_ack_i(      m1s0_ack ),
2015
                .s0_err_i(      m1s0_err ),
2016
                .s0_rty_i(      m1s0_rty ),
2017
                .s1_data_i(     m1s1_data_i     ),
2018
                .s1_data_o(     m1s1_data_o     ),
2019
                .s1_addr_o(     m1s1_addr       ),
2020
                .s1_sel_o(      m1s1_sel        ),
2021
                .s1_we_o(       m1s1_we         ),
2022
                .s1_cyc_o(      m1s1_cyc        ),
2023
                .s1_stb_o(      m1s1_stb        ),
2024
                .s1_ack_i(      m1s1_ack        ),
2025
                .s1_err_i(      m1s1_err        ),
2026
                .s1_rty_i(      m1s1_rty        ),
2027
                .s2_data_i(     m1s2_data_i     ),
2028
                .s2_data_o(     m1s2_data_o     ),
2029
                .s2_addr_o(     m1s2_addr       ),
2030
                .s2_sel_o(      m1s2_sel        ),
2031
                .s2_we_o(       m1s2_we         ),
2032
                .s2_cyc_o(      m1s2_cyc        ),
2033
                .s2_stb_o(      m1s2_stb        ),
2034
                .s2_ack_i(      m1s2_ack        ),
2035
                .s2_err_i(      m1s2_err        ),
2036
                .s2_rty_i(      m1s2_rty        ),
2037
                .s3_data_i(     m1s3_data_i     ),
2038
                .s3_data_o(     m1s3_data_o     ),
2039
                .s3_addr_o(     m1s3_addr       ),
2040
                .s3_sel_o(      m1s3_sel        ),
2041
                .s3_we_o(       m1s3_we         ),
2042
                .s3_cyc_o(      m1s3_cyc        ),
2043
                .s3_stb_o(      m1s3_stb        ),
2044
                .s3_ack_i(      m1s3_ack        ),
2045
                .s3_err_i(      m1s3_err        ),
2046
                .s3_rty_i(      m1s3_rty        ),
2047
                .s4_data_i(     m1s4_data_i     ),
2048
                .s4_data_o(     m1s4_data_o     ),
2049
                .s4_addr_o(     m1s4_addr       ),
2050
                .s4_sel_o(      m1s4_sel        ),
2051
                .s4_we_o(       m1s4_we         ),
2052
                .s4_cyc_o(      m1s4_cyc        ),
2053
                .s4_stb_o(      m1s4_stb        ),
2054
                .s4_ack_i(      m1s4_ack        ),
2055
                .s4_err_i(      m1s4_err        ),
2056
                .s4_rty_i(      m1s4_rty        ),
2057
                .s5_data_i(     m1s5_data_i     ),
2058
                .s5_data_o(     m1s5_data_o     ),
2059
                .s5_addr_o(     m1s5_addr       ),
2060
                .s5_sel_o(      m1s5_sel        ),
2061
                .s5_we_o(       m1s5_we         ),
2062
                .s5_cyc_o(      m1s5_cyc        ),
2063
                .s5_stb_o(      m1s5_stb        ),
2064
                .s5_ack_i(      m1s5_ack        ),
2065
                .s5_err_i(      m1s5_err        ),
2066
                .s5_rty_i(      m1s5_rty        ),
2067
                .s6_data_i(     m1s6_data_i     ),
2068
                .s6_data_o(     m1s6_data_o     ),
2069
                .s6_addr_o(     m1s6_addr       ),
2070
                .s6_sel_o(      m1s6_sel        ),
2071
                .s6_we_o(       m1s6_we         ),
2072
                .s6_cyc_o(      m1s6_cyc        ),
2073
                .s6_stb_o(      m1s6_stb        ),
2074
                .s6_ack_i(      m1s6_ack        ),
2075
                .s6_err_i(      m1s6_err        ),
2076
                .s6_rty_i(      m1s6_rty        ),
2077
                .s7_data_i(     m1s7_data_i     ),
2078
                .s7_data_o(     m1s7_data_o     ),
2079
                .s7_addr_o(     m1s7_addr       ),
2080
                .s7_sel_o(      m1s7_sel        ),
2081
                .s7_we_o(       m1s7_we         ),
2082
                .s7_cyc_o(      m1s7_cyc        ),
2083
                .s7_stb_o(      m1s7_stb        ),
2084
                .s7_ack_i(      m1s7_ack        ),
2085
                .s7_err_i(      m1s7_err        ),
2086
                .s7_rty_i(      m1s7_rty        ),
2087
                .s8_data_i(     m1s8_data_i     ),
2088
                .s8_data_o(     m1s8_data_o     ),
2089
                .s8_addr_o(     m1s8_addr       ),
2090
                .s8_sel_o(      m1s8_sel        ),
2091
                .s8_we_o(       m1s8_we         ),
2092
                .s8_cyc_o(      m1s8_cyc        ),
2093
                .s8_stb_o(      m1s8_stb        ),
2094
                .s8_ack_i(      m1s8_ack        ),
2095
                .s8_err_i(      m1s8_err        ),
2096
                .s8_rty_i(      m1s8_rty        ),
2097
                .s9_data_i(     m1s9_data_i     ),
2098
                .s9_data_o(     m1s9_data_o     ),
2099
                .s9_addr_o(     m1s9_addr       ),
2100
                .s9_sel_o(      m1s9_sel        ),
2101
                .s9_we_o(       m1s9_we         ),
2102
                .s9_cyc_o(      m1s9_cyc        ),
2103
                .s9_stb_o(      m1s9_stb        ),
2104
                .s9_ack_i(      m1s9_ack        ),
2105
                .s9_err_i(      m1s9_err        ),
2106
                .s9_rty_i(      m1s9_rty        ),
2107
                .s10_data_i(    m1s10_data_i    ),
2108
                .s10_data_o(    m1s10_data_o    ),
2109
                .s10_addr_o(    m1s10_addr      ),
2110
                .s10_sel_o(     m1s10_sel       ),
2111
                .s10_we_o(      m1s10_we        ),
2112
                .s10_cyc_o(     m1s10_cyc       ),
2113
                .s10_stb_o(     m1s10_stb       ),
2114
                .s10_ack_i(     m1s10_ack       ),
2115
                .s10_err_i(     m1s10_err       ),
2116
                .s10_rty_i(     m1s10_rty       ),
2117
                .s11_data_i(    m1s11_data_i    ),
2118
                .s11_data_o(    m1s11_data_o    ),
2119
                .s11_addr_o(    m1s11_addr      ),
2120
                .s11_sel_o(     m1s11_sel       ),
2121
                .s11_we_o(      m1s11_we        ),
2122
                .s11_cyc_o(     m1s11_cyc       ),
2123
                .s11_stb_o(     m1s11_stb       ),
2124
                .s11_ack_i(     m1s11_ack       ),
2125
                .s11_err_i(     m1s11_err       ),
2126
                .s11_rty_i(     m1s11_rty       ),
2127
                .s12_data_i(    m1s12_data_i    ),
2128
                .s12_data_o(    m1s12_data_o    ),
2129
                .s12_addr_o(    m1s12_addr      ),
2130
                .s12_sel_o(     m1s12_sel       ),
2131
                .s12_we_o(      m1s12_we        ),
2132
                .s12_cyc_o(     m1s12_cyc       ),
2133
                .s12_stb_o(     m1s12_stb       ),
2134
                .s12_ack_i(     m1s12_ack       ),
2135
                .s12_err_i(     m1s12_err       ),
2136
                .s12_rty_i(     m1s12_rty       ),
2137
                .s13_data_i(    m1s13_data_i    ),
2138
                .s13_data_o(    m1s13_data_o    ),
2139
                .s13_addr_o(    m1s13_addr      ),
2140
                .s13_sel_o(     m1s13_sel       ),
2141
                .s13_we_o(      m1s13_we        ),
2142
                .s13_cyc_o(     m1s13_cyc       ),
2143
                .s13_stb_o(     m1s13_stb       ),
2144
                .s13_ack_i(     m1s13_ack       ),
2145
                .s13_err_i(     m1s13_err       ),
2146
                .s13_rty_i(     m1s13_rty       ),
2147
                .s14_data_i(    m1s14_data_i    ),
2148
                .s14_data_o(    m1s14_data_o    ),
2149
                .s14_addr_o(    m1s14_addr      ),
2150
                .s14_sel_o(     m1s14_sel       ),
2151
                .s14_we_o(      m1s14_we        ),
2152
                .s14_cyc_o(     m1s14_cyc       ),
2153
                .s14_stb_o(     m1s14_stb       ),
2154
                .s14_ack_i(     m1s14_ack       ),
2155
                .s14_err_i(     m1s14_err       ),
2156
                .s14_rty_i(     m1s14_rty       ),
2157
                .s15_data_i(    m1s15_data_i    ),
2158
                .s15_data_o(    m1s15_data_o    ),
2159
                .s15_addr_o(    m1s15_addr      ),
2160
                .s15_sel_o(     m1s15_sel       ),
2161
                .s15_we_o(      m1s15_we        ),
2162
                .s15_cyc_o(     m1s15_cyc       ),
2163
                .s15_stb_o(     m1s15_stb       ),
2164
                .s15_ack_i(     m1s15_ack       ),
2165
                .s15_err_i(     m1s15_err       ),
2166
                .s15_rty_i(     m1s15_rty       )
2167
                );
2168
 
2169
wb_conmax_master_if #(dw,aw,sw) m2(
2170
                .clk_i(         clk_i           ),
2171
                .rst_i(         rst_i           ),
2172
                .wb_data_i(     m2_data_i       ),
2173
                .wb_data_o(     m2_data_o       ),
2174
                .wb_addr_i(     m2_addr_i       ),
2175
                .wb_sel_i(      m2_sel_i        ),
2176
                .wb_we_i(       m2_we_i         ),
2177
                .wb_cyc_i(      m2_cyc_i        ),
2178
                .wb_stb_i(      m2_stb_i        ),
2179
                .wb_ack_o(      m2_ack_o        ),
2180
                .wb_err_o(      m2_err_o        ),
2181
                .wb_rty_o(      m2_rty_o        ),
2182
                .s0_data_i(     m2s0_data_i     ),
2183
                .s0_data_o(     m2s0_data_o     ),
2184
                .s0_addr_o(     m2s0_addr       ),
2185
                .s0_sel_o(      m2s0_sel        ),
2186
                .s0_we_o(       m2s0_we         ),
2187
                .s0_cyc_o(      m2s0_cyc        ),
2188
                .s0_stb_o(      m2s0_stb        ),
2189
                .s0_ack_i(      m2s0_ack        ),
2190
                .s0_err_i(      m2s0_err        ),
2191
                .s0_rty_i(      m2s0_rty        ),
2192
                .s1_data_i(     m2s1_data_i     ),
2193
                .s1_data_o(     m2s1_data_o     ),
2194
                .s1_addr_o(     m2s1_addr       ),
2195
                .s1_sel_o(      m2s1_sel        ),
2196
                .s1_we_o(       m2s1_we         ),
2197
                .s1_cyc_o(      m2s1_cyc        ),
2198
                .s1_stb_o(      m2s1_stb        ),
2199
                .s1_ack_i(      m2s1_ack        ),
2200
                .s1_err_i(      m2s1_err        ),
2201
                .s1_rty_i(      m2s1_rty        ),
2202
                .s2_data_i(     m2s2_data_i     ),
2203
                .s2_data_o(     m2s2_data_o     ),
2204
                .s2_addr_o(     m2s2_addr       ),
2205
                .s2_sel_o(      m2s2_sel        ),
2206
                .s2_we_o(       m2s2_we         ),
2207
                .s2_cyc_o(      m2s2_cyc        ),
2208
                .s2_stb_o(      m2s2_stb        ),
2209
                .s2_ack_i(      m2s2_ack        ),
2210
                .s2_err_i(      m2s2_err        ),
2211
                .s2_rty_i(      m2s2_rty        ),
2212
                .s3_data_i(     m2s3_data_i     ),
2213
                .s3_data_o(     m2s3_data_o     ),
2214
                .s3_addr_o(     m2s3_addr       ),
2215
                .s3_sel_o(      m2s3_sel        ),
2216
                .s3_we_o(       m2s3_we         ),
2217
                .s3_cyc_o(      m2s3_cyc        ),
2218
                .s3_stb_o(      m2s3_stb        ),
2219
                .s3_ack_i(      m2s3_ack        ),
2220
                .s3_err_i(      m2s3_err        ),
2221
                .s3_rty_i(      m2s3_rty        ),
2222
                .s4_data_i(     m2s4_data_i     ),
2223
                .s4_data_o(     m2s4_data_o     ),
2224
                .s4_addr_o(     m2s4_addr       ),
2225
                .s4_sel_o(      m2s4_sel        ),
2226
                .s4_we_o(       m2s4_we         ),
2227
                .s4_cyc_o(      m2s4_cyc        ),
2228
                .s4_stb_o(      m2s4_stb        ),
2229
                .s4_ack_i(      m2s4_ack        ),
2230
                .s4_err_i(      m2s4_err        ),
2231
                .s4_rty_i(      m2s4_rty        ),
2232
                .s5_data_i(     m2s5_data_i     ),
2233
                .s5_data_o(     m2s5_data_o     ),
2234
                .s5_addr_o(     m2s5_addr       ),
2235
                .s5_sel_o(      m2s5_sel        ),
2236
                .s5_we_o(       m2s5_we         ),
2237
                .s5_cyc_o(      m2s5_cyc        ),
2238
                .s5_stb_o(      m2s5_stb        ),
2239
                .s5_ack_i(      m2s5_ack        ),
2240
                .s5_err_i(      m2s5_err        ),
2241
                .s5_rty_i(      m2s5_rty        ),
2242
                .s6_data_i(     m2s6_data_i     ),
2243
                .s6_data_o(     m2s6_data_o     ),
2244
                .s6_addr_o(     m2s6_addr       ),
2245
                .s6_sel_o(      m2s6_sel        ),
2246
                .s6_we_o(       m2s6_we         ),
2247
                .s6_cyc_o(      m2s6_cyc        ),
2248
                .s6_stb_o(      m2s6_stb        ),
2249
                .s6_ack_i(      m2s6_ack        ),
2250
                .s6_err_i(      m2s6_err        ),
2251
                .s6_rty_i(      m2s6_rty        ),
2252
                .s7_data_i(     m2s7_data_i     ),
2253
                .s7_data_o(     m2s7_data_o     ),
2254
                .s7_addr_o(     m2s7_addr       ),
2255
                .s7_sel_o(      m2s7_sel        ),
2256
                .s7_we_o(       m2s7_we         ),
2257
                .s7_cyc_o(      m2s7_cyc        ),
2258
                .s7_stb_o(      m2s7_stb        ),
2259
                .s7_ack_i(      m2s7_ack        ),
2260
                .s7_err_i(      m2s7_err        ),
2261
                .s7_rty_i(      m2s7_rty        ),
2262
                .s8_data_i(     m2s8_data_i     ),
2263
                .s8_data_o(     m2s8_data_o     ),
2264
                .s8_addr_o(     m2s8_addr       ),
2265
                .s8_sel_o(      m2s8_sel        ),
2266
                .s8_we_o(       m2s8_we         ),
2267
                .s8_cyc_o(      m2s8_cyc        ),
2268
                .s8_stb_o(      m2s8_stb        ),
2269
                .s8_ack_i(      m2s8_ack        ),
2270
                .s8_err_i(      m2s8_err        ),
2271
                .s8_rty_i(      m2s8_rty        ),
2272
                .s9_data_i(     m2s9_data_i     ),
2273
                .s9_data_o(     m2s9_data_o     ),
2274
                .s9_addr_o(     m2s9_addr       ),
2275
                .s9_sel_o(      m2s9_sel        ),
2276
                .s9_we_o(       m2s9_we         ),
2277
                .s9_cyc_o(      m2s9_cyc        ),
2278
                .s9_stb_o(      m2s9_stb        ),
2279
                .s9_ack_i(      m2s9_ack        ),
2280
                .s9_err_i(      m2s9_err        ),
2281
                .s9_rty_i(      m2s9_rty        ),
2282
                .s10_data_i(    m2s10_data_i    ),
2283
                .s10_data_o(    m2s10_data_o    ),
2284
                .s10_addr_o(    m2s10_addr      ),
2285
                .s10_sel_o(     m2s10_sel       ),
2286
                .s10_we_o(      m2s10_we        ),
2287
                .s10_cyc_o(     m2s10_cyc       ),
2288
                .s10_stb_o(     m2s10_stb       ),
2289
                .s10_ack_i(     m2s10_ack       ),
2290
                .s10_err_i(     m2s10_err       ),
2291
                .s10_rty_i(     m2s10_rty       ),
2292
                .s11_data_i(    m2s11_data_i    ),
2293
                .s11_data_o(    m2s11_data_o    ),
2294
                .s11_addr_o(    m2s11_addr      ),
2295
                .s11_sel_o(     m2s11_sel       ),
2296
                .s11_we_o(      m2s11_we        ),
2297
                .s11_cyc_o(     m2s11_cyc       ),
2298
                .s11_stb_o(     m2s11_stb       ),
2299
                .s11_ack_i(     m2s11_ack       ),
2300
                .s11_err_i(     m2s11_err       ),
2301
                .s11_rty_i(     m2s11_rty       ),
2302
                .s12_data_i(    m2s12_data_i    ),
2303
                .s12_data_o(    m2s12_data_o    ),
2304
                .s12_addr_o(    m2s12_addr      ),
2305
                .s12_sel_o(     m2s12_sel       ),
2306
                .s12_we_o(      m2s12_we        ),
2307
                .s12_cyc_o(     m2s12_cyc       ),
2308
                .s12_stb_o(     m2s12_stb       ),
2309
                .s12_ack_i(     m2s12_ack       ),
2310
                .s12_err_i(     m2s12_err       ),
2311
                .s12_rty_i(     m2s12_rty       ),
2312
                .s13_data_i(    m2s13_data_i    ),
2313
                .s13_data_o(    m2s13_data_o    ),
2314
                .s13_addr_o(    m2s13_addr      ),
2315
                .s13_sel_o(     m2s13_sel       ),
2316
                .s13_we_o(      m2s13_we        ),
2317
                .s13_cyc_o(     m2s13_cyc       ),
2318
                .s13_stb_o(     m2s13_stb       ),
2319
                .s13_ack_i(     m2s13_ack       ),
2320
                .s13_err_i(     m2s13_err       ),
2321
                .s13_rty_i(     m2s13_rty       ),
2322
                .s14_data_i(    m2s14_data_i    ),
2323
                .s14_data_o(    m2s14_data_o    ),
2324
                .s14_addr_o(    m2s14_addr      ),
2325
                .s14_sel_o(     m2s14_sel       ),
2326
                .s14_we_o(      m2s14_we        ),
2327
                .s14_cyc_o(     m2s14_cyc       ),
2328
                .s14_stb_o(     m2s14_stb       ),
2329
                .s14_ack_i(     m2s14_ack       ),
2330
                .s14_err_i(     m2s14_err       ),
2331
                .s14_rty_i(     m2s14_rty       ),
2332
                .s15_data_i(    m2s15_data_i    ),
2333
                .s15_data_o(    m2s15_data_o    ),
2334
                .s15_addr_o(    m2s15_addr      ),
2335
                .s15_sel_o(     m2s15_sel       ),
2336
                .s15_we_o(      m2s15_we        ),
2337
                .s15_cyc_o(     m2s15_cyc       ),
2338
                .s15_stb_o(     m2s15_stb       ),
2339
                .s15_ack_i(     m2s15_ack       ),
2340
                .s15_err_i(     m2s15_err       ),
2341
                .s15_rty_i(     m2s15_rty       )
2342
                );
2343
 
2344
wb_conmax_master_if #(dw,aw,sw) m3(
2345
                .clk_i(         clk_i           ),
2346
                .rst_i(         rst_i           ),
2347
                .wb_data_i(     m3_data_i       ),
2348
                .wb_data_o(     m3_data_o       ),
2349
                .wb_addr_i(     m3_addr_i       ),
2350
                .wb_sel_i(      m3_sel_i        ),
2351
                .wb_we_i(       m3_we_i         ),
2352
                .wb_cyc_i(      m3_cyc_i        ),
2353
                .wb_stb_i(      m3_stb_i        ),
2354
                .wb_ack_o(      m3_ack_o        ),
2355
                .wb_err_o(      m3_err_o        ),
2356
                .wb_rty_o(      m3_rty_o        ),
2357
                .s0_data_i(     m3s0_data_i     ),
2358
                .s0_data_o(     m3s0_data_o     ),
2359
                .s0_addr_o(     m3s0_addr       ),
2360
                .s0_sel_o(      m3s0_sel        ),
2361
                .s0_we_o(       m3s0_we         ),
2362
                .s0_cyc_o(      m3s0_cyc        ),
2363
                .s0_stb_o(      m3s0_stb        ),
2364
                .s0_ack_i(      m3s0_ack        ),
2365
                .s0_err_i(      m3s0_err        ),
2366
                .s0_rty_i(      m3s0_rty        ),
2367
                .s1_data_i(     m3s1_data_i     ),
2368
                .s1_data_o(     m3s1_data_o     ),
2369
                .s1_addr_o(     m3s1_addr       ),
2370
                .s1_sel_o(      m3s1_sel        ),
2371
                .s1_we_o(       m3s1_we         ),
2372
                .s1_cyc_o(      m3s1_cyc        ),
2373
                .s1_stb_o(      m3s1_stb        ),
2374
                .s1_ack_i(      m3s1_ack        ),
2375
                .s1_err_i(      m3s1_err        ),
2376
                .s1_rty_i(      m3s1_rty        ),
2377
                .s2_data_i(     m3s2_data_i     ),
2378
                .s2_data_o(     m3s2_data_o     ),
2379
                .s2_addr_o(     m3s2_addr       ),
2380
                .s2_sel_o(      m3s2_sel        ),
2381
                .s2_we_o(       m3s2_we         ),
2382
                .s2_cyc_o(      m3s2_cyc        ),
2383
                .s2_stb_o(      m3s2_stb        ),
2384
                .s2_ack_i(      m3s2_ack        ),
2385
                .s2_err_i(      m3s2_err        ),
2386
                .s2_rty_i(      m3s2_rty        ),
2387
                .s3_data_i(     m3s3_data_i     ),
2388
                .s3_data_o(     m3s3_data_o     ),
2389
                .s3_addr_o(     m3s3_addr       ),
2390
                .s3_sel_o(      m3s3_sel        ),
2391
                .s3_we_o(       m3s3_we         ),
2392
                .s3_cyc_o(      m3s3_cyc        ),
2393
                .s3_stb_o(      m3s3_stb        ),
2394
                .s3_ack_i(      m3s3_ack        ),
2395
                .s3_err_i(      m3s3_err        ),
2396
                .s3_rty_i(      m3s3_rty        ),
2397
                .s4_data_i(     m3s4_data_i     ),
2398
                .s4_data_o(     m3s4_data_o     ),
2399
                .s4_addr_o(     m3s4_addr       ),
2400
                .s4_sel_o(      m3s4_sel        ),
2401
                .s4_we_o(       m3s4_we         ),
2402
                .s4_cyc_o(      m3s4_cyc        ),
2403
                .s4_stb_o(      m3s4_stb        ),
2404
                .s4_ack_i(      m3s4_ack        ),
2405
                .s4_err_i(      m3s4_err        ),
2406
                .s4_rty_i(      m3s4_rty        ),
2407
                .s5_data_i(     m3s5_data_i     ),
2408
                .s5_data_o(     m3s5_data_o     ),
2409
                .s5_addr_o(     m3s5_addr       ),
2410
                .s5_sel_o(      m3s5_sel        ),
2411
                .s5_we_o(       m3s5_we         ),
2412
                .s5_cyc_o(      m3s5_cyc        ),
2413
                .s5_stb_o(      m3s5_stb        ),
2414
                .s5_ack_i(      m3s5_ack        ),
2415
                .s5_err_i(      m3s5_err        ),
2416
                .s5_rty_i(      m3s5_rty        ),
2417
                .s6_data_i(     m3s6_data_i     ),
2418
                .s6_data_o(     m3s6_data_o     ),
2419
                .s6_addr_o(     m3s6_addr       ),
2420
                .s6_sel_o(      m3s6_sel        ),
2421
                .s6_we_o(       m3s6_we         ),
2422
                .s6_cyc_o(      m3s6_cyc        ),
2423
                .s6_stb_o(      m3s6_stb        ),
2424
                .s6_ack_i(      m3s6_ack        ),
2425
                .s6_err_i(      m3s6_err        ),
2426
                .s6_rty_i(      m3s6_rty        ),
2427
                .s7_data_i(     m3s7_data_i     ),
2428
                .s7_data_o(     m3s7_data_o     ),
2429
                .s7_addr_o(     m3s7_addr       ),
2430
                .s7_sel_o(      m3s7_sel        ),
2431
                .s7_we_o(       m3s7_we         ),
2432
                .s7_cyc_o(      m3s7_cyc        ),
2433
                .s7_stb_o(      m3s7_stb        ),
2434
                .s7_ack_i(      m3s7_ack        ),
2435
                .s7_err_i(      m3s7_err        ),
2436
                .s7_rty_i(      m3s7_rty        ),
2437
                .s8_data_i(     m3s8_data_i     ),
2438
                .s8_data_o(     m3s8_data_o     ),
2439
                .s8_addr_o(     m3s8_addr       ),
2440
                .s8_sel_o(      m3s8_sel        ),
2441
                .s8_we_o(       m3s8_we         ),
2442
                .s8_cyc_o(      m3s8_cyc        ),
2443
                .s8_stb_o(      m3s8_stb        ),
2444
                .s8_ack_i(      m3s8_ack        ),
2445
                .s8_err_i(      m3s8_err        ),
2446
                .s8_rty_i(      m3s8_rty        ),
2447
                .s9_data_i(     m3s9_data_i     ),
2448
                .s9_data_o(     m3s9_data_o     ),
2449
                .s9_addr_o(     m3s9_addr       ),
2450
                .s9_sel_o(      m3s9_sel        ),
2451
                .s9_we_o(       m3s9_we         ),
2452
                .s9_cyc_o(      m3s9_cyc        ),
2453
                .s9_stb_o(      m3s9_stb        ),
2454
                .s9_ack_i(      m3s9_ack        ),
2455
                .s9_err_i(      m3s9_err        ),
2456
                .s9_rty_i(      m3s9_rty        ),
2457
                .s10_data_i(    m3s10_data_i    ),
2458
                .s10_data_o(    m3s10_data_o    ),
2459
                .s10_addr_o(    m3s10_addr      ),
2460
                .s10_sel_o(     m3s10_sel       ),
2461
                .s10_we_o(      m3s10_we        ),
2462
                .s10_cyc_o(     m3s10_cyc       ),
2463
                .s10_stb_o(     m3s10_stb       ),
2464
                .s10_ack_i(     m3s10_ack       ),
2465
                .s10_err_i(     m3s10_err       ),
2466
                .s10_rty_i(     m3s10_rty       ),
2467
                .s11_data_i(    m3s11_data_i    ),
2468
                .s11_data_o(    m3s11_data_o    ),
2469
                .s11_addr_o(    m3s11_addr      ),
2470
                .s11_sel_o(     m3s11_sel       ),
2471
                .s11_we_o(      m3s11_we        ),
2472
                .s11_cyc_o(     m3s11_cyc       ),
2473
                .s11_stb_o(     m3s11_stb       ),
2474
                .s11_ack_i(     m3s11_ack       ),
2475
                .s11_err_i(     m3s11_err       ),
2476
                .s11_rty_i(     m3s11_rty       ),
2477
                .s12_data_i(    m3s12_data_i    ),
2478
                .s12_data_o(    m3s12_data_o    ),
2479
                .s12_addr_o(    m3s12_addr      ),
2480
                .s12_sel_o(     m3s12_sel       ),
2481
                .s12_we_o(      m3s12_we        ),
2482
                .s12_cyc_o(     m3s12_cyc       ),
2483
                .s12_stb_o(     m3s12_stb       ),
2484
                .s12_ack_i(     m3s12_ack       ),
2485
                .s12_err_i(     m3s12_err       ),
2486
                .s12_rty_i(     m3s12_rty       ),
2487
                .s13_data_i(    m3s13_data_i    ),
2488
                .s13_data_o(    m3s13_data_o    ),
2489
                .s13_addr_o(    m3s13_addr      ),
2490
                .s13_sel_o(     m3s13_sel       ),
2491
                .s13_we_o(      m3s13_we        ),
2492
                .s13_cyc_o(     m3s13_cyc       ),
2493
                .s13_stb_o(     m3s13_stb       ),
2494
                .s13_ack_i(     m3s13_ack       ),
2495
                .s13_err_i(     m3s13_err       ),
2496
                .s13_rty_i(     m3s13_rty       ),
2497
                .s14_data_i(    m3s14_data_i    ),
2498
                .s14_data_o(    m3s14_data_o    ),
2499
                .s14_addr_o(    m3s14_addr      ),
2500
                .s14_sel_o(     m3s14_sel       ),
2501
                .s14_we_o(      m3s14_we        ),
2502
                .s14_cyc_o(     m3s14_cyc       ),
2503
                .s14_stb_o(     m3s14_stb       ),
2504
                .s14_ack_i(     m3s14_ack       ),
2505
                .s14_err_i(     m3s14_err       ),
2506
                .s14_rty_i(     m3s14_rty       ),
2507
                .s15_data_i(    m3s15_data_i    ),
2508
                .s15_data_o(    m3s15_data_o    ),
2509
                .s15_addr_o(    m3s15_addr      ),
2510
                .s15_sel_o(     m3s15_sel       ),
2511
                .s15_we_o(      m3s15_we        ),
2512
                .s15_cyc_o(     m3s15_cyc       ),
2513
                .s15_stb_o(     m3s15_stb       ),
2514
                .s15_ack_i(     m3s15_ack       ),
2515
                .s15_err_i(     m3s15_err       ),
2516
                .s15_rty_i(     m3s15_rty       )
2517
                );
2518
 
2519
wb_conmax_master_if #(dw,aw,sw) m4(
2520
                .clk_i(         clk_i           ),
2521
                .rst_i(         rst_i           ),
2522
                .wb_data_i(     m4_data_i       ),
2523
                .wb_data_o(     m4_data_o       ),
2524
                .wb_addr_i(     m4_addr_i       ),
2525
                .wb_sel_i(      m4_sel_i        ),
2526
                .wb_we_i(       m4_we_i         ),
2527
                .wb_cyc_i(      m4_cyc_i        ),
2528
                .wb_stb_i(      m4_stb_i        ),
2529
                .wb_ack_o(      m4_ack_o        ),
2530
                .wb_err_o(      m4_err_o        ),
2531
                .wb_rty_o(      m4_rty_o        ),
2532
                .s0_data_i(     m4s0_data_i     ),
2533
                .s0_data_o(     m4s0_data_o     ),
2534
                .s0_addr_o(     m4s0_addr       ),
2535
                .s0_sel_o(      m4s0_sel        ),
2536
                .s0_we_o(       m4s0_we         ),
2537
                .s0_cyc_o(      m4s0_cyc        ),
2538
                .s0_stb_o(      m4s0_stb        ),
2539
                .s0_ack_i(      m4s0_ack        ),
2540
                .s0_err_i(      m4s0_err        ),
2541
                .s0_rty_i(      m4s0_rty        ),
2542
                .s1_data_i(     m4s1_data_i     ),
2543
                .s1_data_o(     m4s1_data_o     ),
2544
                .s1_addr_o(     m4s1_addr       ),
2545
                .s1_sel_o(      m4s1_sel        ),
2546
                .s1_we_o(       m4s1_we         ),
2547
                .s1_cyc_o(      m4s1_cyc        ),
2548
                .s1_stb_o(      m4s1_stb        ),
2549
                .s1_ack_i(      m4s1_ack        ),
2550
                .s1_err_i(      m4s1_err        ),
2551
                .s1_rty_i(      m4s1_rty        ),
2552
                .s2_data_i(     m4s2_data_i     ),
2553
                .s2_data_o(     m4s2_data_o     ),
2554
                .s2_addr_o(     m4s2_addr       ),
2555
                .s2_sel_o(      m4s2_sel        ),
2556
                .s2_we_o(       m4s2_we         ),
2557
                .s2_cyc_o(      m4s2_cyc        ),
2558
                .s2_stb_o(      m4s2_stb        ),
2559
                .s2_ack_i(      m4s2_ack        ),
2560
                .s2_err_i(      m4s2_err        ),
2561
                .s2_rty_i(      m4s2_rty        ),
2562
                .s3_data_i(     m4s3_data_i     ),
2563
                .s3_data_o(     m4s3_data_o     ),
2564
                .s3_addr_o(     m4s3_addr       ),
2565
                .s3_sel_o(      m4s3_sel        ),
2566
                .s3_we_o(       m4s3_we         ),
2567
                .s3_cyc_o(      m4s3_cyc        ),
2568
                .s3_stb_o(      m4s3_stb        ),
2569
                .s3_ack_i(      m4s3_ack        ),
2570
                .s3_err_i(      m4s3_err        ),
2571
                .s3_rty_i(      m4s3_rty        ),
2572
                .s4_data_i(     m4s4_data_i     ),
2573
                .s4_data_o(     m4s4_data_o     ),
2574
                .s4_addr_o(     m4s4_addr       ),
2575
                .s4_sel_o(      m4s4_sel        ),
2576
                .s4_we_o(       m4s4_we         ),
2577
                .s4_cyc_o(      m4s4_cyc        ),
2578
                .s4_stb_o(      m4s4_stb        ),
2579
                .s4_ack_i(      m4s4_ack        ),
2580
                .s4_err_i(      m4s4_err        ),
2581
                .s4_rty_i(      m4s4_rty        ),
2582
                .s5_data_i(     m4s5_data_i     ),
2583
                .s5_data_o(     m4s5_data_o     ),
2584
                .s5_addr_o(     m4s5_addr       ),
2585
                .s5_sel_o(      m4s5_sel        ),
2586
                .s5_we_o(       m4s5_we         ),
2587
                .s5_cyc_o(      m4s5_cyc        ),
2588
                .s5_stb_o(      m4s5_stb        ),
2589
                .s5_ack_i(      m4s5_ack        ),
2590
                .s5_err_i(      m4s5_err        ),
2591
                .s5_rty_i(      m4s5_rty        ),
2592
                .s6_data_i(     m4s6_data_i     ),
2593
                .s6_data_o(     m4s6_data_o     ),
2594
                .s6_addr_o(     m4s6_addr       ),
2595
                .s6_sel_o(      m4s6_sel        ),
2596
                .s6_we_o(       m4s6_we         ),
2597
                .s6_cyc_o(      m4s6_cyc        ),
2598
                .s6_stb_o(      m4s6_stb        ),
2599
                .s6_ack_i(      m4s6_ack        ),
2600
                .s6_err_i(      m4s6_err        ),
2601
                .s6_rty_i(      m4s6_rty        ),
2602
                .s7_data_i(     m4s7_data_i     ),
2603
                .s7_data_o(     m4s7_data_o     ),
2604
                .s7_addr_o(     m4s7_addr       ),
2605
                .s7_sel_o(      m4s7_sel        ),
2606
                .s7_we_o(       m4s7_we         ),
2607
                .s7_cyc_o(      m4s7_cyc        ),
2608
                .s7_stb_o(      m4s7_stb        ),
2609
                .s7_ack_i(      m4s7_ack        ),
2610
                .s7_err_i(      m4s7_err        ),
2611
                .s7_rty_i(      m4s7_rty        ),
2612
                .s8_data_i(     m4s8_data_i     ),
2613
                .s8_data_o(     m4s8_data_o     ),
2614
                .s8_addr_o(     m4s8_addr       ),
2615
                .s8_sel_o(      m4s8_sel        ),
2616
                .s8_we_o(       m4s8_we         ),
2617
                .s8_cyc_o(      m4s8_cyc        ),
2618
                .s8_stb_o(      m4s8_stb        ),
2619
                .s8_ack_i(      m4s8_ack        ),
2620
                .s8_err_i(      m4s8_err        ),
2621
                .s8_rty_i(      m4s8_rty        ),
2622
                .s9_data_i(     m4s9_data_i     ),
2623
                .s9_data_o(     m4s9_data_o     ),
2624
                .s9_addr_o(     m4s9_addr       ),
2625
                .s9_sel_o(      m4s9_sel        ),
2626
                .s9_we_o(       m4s9_we         ),
2627
                .s9_cyc_o(      m4s9_cyc        ),
2628
                .s9_stb_o(      m4s9_stb        ),
2629
                .s9_ack_i(      m4s9_ack        ),
2630
                .s9_err_i(      m4s9_err        ),
2631
                .s9_rty_i(      m4s9_rty        ),
2632
                .s10_data_i(    m4s10_data_i    ),
2633
                .s10_data_o(    m4s10_data_o    ),
2634
                .s10_addr_o(    m4s10_addr      ),
2635
                .s10_sel_o(     m4s10_sel       ),
2636
                .s10_we_o(      m4s10_we        ),
2637
                .s10_cyc_o(     m4s10_cyc       ),
2638
                .s10_stb_o(     m4s10_stb       ),
2639
                .s10_ack_i(     m4s10_ack       ),
2640
                .s10_err_i(     m4s10_err       ),
2641
                .s10_rty_i(     m4s10_rty       ),
2642
                .s11_data_i(    m4s11_data_i    ),
2643
                .s11_data_o(    m4s11_data_o    ),
2644
                .s11_addr_o(    m4s11_addr      ),
2645
                .s11_sel_o(     m4s11_sel       ),
2646
                .s11_we_o(      m4s11_we        ),
2647
                .s11_cyc_o(     m4s11_cyc       ),
2648
                .s11_stb_o(     m4s11_stb       ),
2649
                .s11_ack_i(     m4s11_ack       ),
2650
                .s11_err_i(     m4s11_err       ),
2651
                .s11_rty_i(     m4s11_rty       ),
2652
                .s12_data_i(    m4s12_data_i    ),
2653
                .s12_data_o(    m4s12_data_o    ),
2654
                .s12_addr_o(    m4s12_addr      ),
2655
                .s12_sel_o(     m4s12_sel       ),
2656
                .s12_we_o(      m4s12_we        ),
2657
                .s12_cyc_o(     m4s12_cyc       ),
2658
                .s12_stb_o(     m4s12_stb       ),
2659
                .s12_ack_i(     m4s12_ack       ),
2660
                .s12_err_i(     m4s12_err       ),
2661
                .s12_rty_i(     m4s12_rty       ),
2662
                .s13_data_i(    m4s13_data_i    ),
2663
                .s13_data_o(    m4s13_data_o    ),
2664
                .s13_addr_o(    m4s13_addr      ),
2665
                .s13_sel_o(     m4s13_sel       ),
2666
                .s13_we_o(      m4s13_we        ),
2667
                .s13_cyc_o(     m4s13_cyc       ),
2668
                .s13_stb_o(     m4s13_stb       ),
2669
                .s13_ack_i(     m4s13_ack       ),
2670
                .s13_err_i(     m4s13_err       ),
2671
                .s13_rty_i(     m4s13_rty       ),
2672
                .s14_data_i(    m4s14_data_i    ),
2673
                .s14_data_o(    m4s14_data_o    ),
2674
                .s14_addr_o(    m4s14_addr      ),
2675
                .s14_sel_o(     m4s14_sel       ),
2676
                .s14_we_o(      m4s14_we        ),
2677
                .s14_cyc_o(     m4s14_cyc       ),
2678
                .s14_stb_o(     m4s14_stb       ),
2679
                .s14_ack_i(     m4s14_ack       ),
2680
                .s14_err_i(     m4s14_err       ),
2681
                .s14_rty_i(     m4s14_rty       ),
2682
                .s15_data_i(    m4s15_data_i    ),
2683
                .s15_data_o(    m4s15_data_o    ),
2684
                .s15_addr_o(    m4s15_addr      ),
2685
                .s15_sel_o(     m4s15_sel       ),
2686
                .s15_we_o(      m4s15_we        ),
2687
                .s15_cyc_o(     m4s15_cyc       ),
2688
                .s15_stb_o(     m4s15_stb       ),
2689
                .s15_ack_i(     m4s15_ack       ),
2690
                .s15_err_i(     m4s15_err       ),
2691
                .s15_rty_i(     m4s15_rty       )
2692
                );
2693
 
2694
wb_conmax_master_if #(dw,aw,sw) m5(
2695
                .clk_i(         clk_i           ),
2696
                .rst_i(         rst_i           ),
2697
                .wb_data_i(     m5_data_i       ),
2698
                .wb_data_o(     m5_data_o       ),
2699
                .wb_addr_i(     m5_addr_i       ),
2700
                .wb_sel_i(      m5_sel_i        ),
2701
                .wb_we_i(       m5_we_i         ),
2702
                .wb_cyc_i(      m5_cyc_i        ),
2703
                .wb_stb_i(      m5_stb_i        ),
2704
                .wb_ack_o(      m5_ack_o        ),
2705
                .wb_err_o(      m5_err_o        ),
2706
                .wb_rty_o(      m5_rty_o        ),
2707
                .s0_data_i(     m5s0_data_i     ),
2708
                .s0_data_o(     m5s0_data_o     ),
2709
                .s0_addr_o(     m5s0_addr       ),
2710
                .s0_sel_o(      m5s0_sel        ),
2711
                .s0_we_o(       m5s0_we         ),
2712
                .s0_cyc_o(      m5s0_cyc        ),
2713
                .s0_stb_o(      m5s0_stb        ),
2714
                .s0_ack_i(      m5s0_ack        ),
2715
                .s0_err_i(      m5s0_err        ),
2716
                .s0_rty_i(      m5s0_rty        ),
2717
                .s1_data_i(     m5s1_data_i     ),
2718
                .s1_data_o(     m5s1_data_o     ),
2719
                .s1_addr_o(     m5s1_addr       ),
2720
                .s1_sel_o(      m5s1_sel        ),
2721
                .s1_we_o(       m5s1_we         ),
2722
                .s1_cyc_o(      m5s1_cyc        ),
2723
                .s1_stb_o(      m5s1_stb        ),
2724
                .s1_ack_i(      m5s1_ack        ),
2725
                .s1_err_i(      m5s1_err        ),
2726
                .s1_rty_i(      m5s1_rty        ),
2727
                .s2_data_i(     m5s2_data_i     ),
2728
                .s2_data_o(     m5s2_data_o     ),
2729
                .s2_addr_o(     m5s2_addr       ),
2730
                .s2_sel_o(      m5s2_sel        ),
2731
                .s2_we_o(       m5s2_we         ),
2732
                .s2_cyc_o(      m5s2_cyc        ),
2733
                .s2_stb_o(      m5s2_stb        ),
2734
                .s2_ack_i(      m5s2_ack        ),
2735
                .s2_err_i(      m5s2_err        ),
2736
                .s2_rty_i(      m5s2_rty        ),
2737
                .s3_data_i(     m5s3_data_i     ),
2738
                .s3_data_o(     m5s3_data_o     ),
2739
                .s3_addr_o(     m5s3_addr       ),
2740
                .s3_sel_o(      m5s3_sel        ),
2741
                .s3_we_o(       m5s3_we         ),
2742
                .s3_cyc_o(      m5s3_cyc        ),
2743
                .s3_stb_o(      m5s3_stb        ),
2744
                .s3_ack_i(      m5s3_ack        ),
2745
                .s3_err_i(      m5s3_err        ),
2746
                .s3_rty_i(      m5s3_rty        ),
2747
                .s4_data_i(     m5s4_data_i     ),
2748
                .s4_data_o(     m5s4_data_o     ),
2749
                .s4_addr_o(     m5s4_addr       ),
2750
                .s4_sel_o(      m5s4_sel        ),
2751
                .s4_we_o(       m5s4_we         ),
2752
                .s4_cyc_o(      m5s4_cyc        ),
2753
                .s4_stb_o(      m5s4_stb        ),
2754
                .s4_ack_i(      m5s4_ack        ),
2755
                .s4_err_i(      m5s4_err        ),
2756
                .s4_rty_i(      m5s4_rty        ),
2757
                .s5_data_i(     m5s5_data_i     ),
2758
                .s5_data_o(     m5s5_data_o     ),
2759
                .s5_addr_o(     m5s5_addr       ),
2760
                .s5_sel_o(      m5s5_sel        ),
2761
                .s5_we_o(       m5s5_we         ),
2762
                .s5_cyc_o(      m5s5_cyc        ),
2763
                .s5_stb_o(      m5s5_stb        ),
2764
                .s5_ack_i(      m5s5_ack        ),
2765
                .s5_err_i(      m5s5_err        ),
2766
                .s5_rty_i(      m5s5_rty        ),
2767
                .s6_data_i(     m5s6_data_i     ),
2768
                .s6_data_o(     m5s6_data_o     ),
2769
                .s6_addr_o(     m5s6_addr       ),
2770
                .s6_sel_o(      m5s6_sel        ),
2771
                .s6_we_o(       m5s6_we         ),
2772
                .s6_cyc_o(      m5s6_cyc        ),
2773
                .s6_stb_o(      m5s6_stb        ),
2774
                .s6_ack_i(      m5s6_ack        ),
2775
                .s6_err_i(      m5s6_err        ),
2776
                .s6_rty_i(      m5s6_rty        ),
2777
                .s7_data_i(     m5s7_data_i     ),
2778
                .s7_data_o(     m5s7_data_o     ),
2779
                .s7_addr_o(     m5s7_addr       ),
2780
                .s7_sel_o(      m5s7_sel        ),
2781
                .s7_we_o(       m5s7_we         ),
2782
                .s7_cyc_o(      m5s7_cyc        ),
2783
                .s7_stb_o(      m5s7_stb        ),
2784
                .s7_ack_i(      m5s7_ack        ),
2785
                .s7_err_i(      m5s7_err        ),
2786
                .s7_rty_i(      m5s7_rty        ),
2787
                .s8_data_i(     m5s8_data_i     ),
2788
                .s8_data_o(     m5s8_data_o     ),
2789
                .s8_addr_o(     m5s8_addr       ),
2790
                .s8_sel_o(      m5s8_sel        ),
2791
                .s8_we_o(       m5s8_we         ),
2792
                .s8_cyc_o(      m5s8_cyc        ),
2793
                .s8_stb_o(      m5s8_stb        ),
2794
                .s8_ack_i(      m5s8_ack        ),
2795
                .s8_err_i(      m5s8_err        ),
2796
                .s8_rty_i(      m5s8_rty        ),
2797
                .s9_data_i(     m5s9_data_i     ),
2798
                .s9_data_o(     m5s9_data_o     ),
2799
                .s9_addr_o(     m5s9_addr       ),
2800
                .s9_sel_o(      m5s9_sel        ),
2801
                .s9_we_o(       m5s9_we         ),
2802
                .s9_cyc_o(      m5s9_cyc        ),
2803
                .s9_stb_o(      m5s9_stb        ),
2804
                .s9_ack_i(      m5s9_ack        ),
2805
                .s9_err_i(      m5s9_err        ),
2806
                .s9_rty_i(      m5s9_rty        ),
2807
                .s10_data_i(    m5s10_data_i    ),
2808
                .s10_data_o(    m5s10_data_o    ),
2809
                .s10_addr_o(    m5s10_addr      ),
2810
                .s10_sel_o(     m5s10_sel       ),
2811
                .s10_we_o(      m5s10_we        ),
2812
                .s10_cyc_o(     m5s10_cyc       ),
2813
                .s10_stb_o(     m5s10_stb       ),
2814
                .s10_ack_i(     m5s10_ack       ),
2815
                .s10_err_i(     m5s10_err       ),
2816
                .s10_rty_i(     m5s10_rty       ),
2817
                .s11_data_i(    m5s11_data_i    ),
2818
                .s11_data_o(    m5s11_data_o    ),
2819
                .s11_addr_o(    m5s11_addr      ),
2820
                .s11_sel_o(     m5s11_sel       ),
2821
                .s11_we_o(      m5s11_we        ),
2822
                .s11_cyc_o(     m5s11_cyc       ),
2823
                .s11_stb_o(     m5s11_stb       ),
2824
                .s11_ack_i(     m5s11_ack       ),
2825
                .s11_err_i(     m5s11_err       ),
2826
                .s11_rty_i(     m5s11_rty       ),
2827
                .s12_data_i(    m5s12_data_i    ),
2828
                .s12_data_o(    m5s12_data_o    ),
2829
                .s12_addr_o(    m5s12_addr      ),
2830
                .s12_sel_o(     m5s12_sel       ),
2831
                .s12_we_o(      m5s12_we        ),
2832
                .s12_cyc_o(     m5s12_cyc       ),
2833
                .s12_stb_o(     m5s12_stb       ),
2834
                .s12_ack_i(     m5s12_ack       ),
2835
                .s12_err_i(     m5s12_err       ),
2836
                .s12_rty_i(     m5s12_rty       ),
2837
                .s13_data_i(    m5s13_data_i    ),
2838
                .s13_data_o(    m5s13_data_o    ),
2839
                .s13_addr_o(    m5s13_addr      ),
2840
                .s13_sel_o(     m5s13_sel       ),
2841
                .s13_we_o(      m5s13_we        ),
2842
                .s13_cyc_o(     m5s13_cyc       ),
2843
                .s13_stb_o(     m5s13_stb       ),
2844
                .s13_ack_i(     m5s13_ack       ),
2845
                .s13_err_i(     m5s13_err       ),
2846
                .s13_rty_i(     m5s13_rty       ),
2847
                .s14_data_i(    m5s14_data_i    ),
2848
                .s14_data_o(    m5s14_data_o    ),
2849
                .s14_addr_o(    m5s14_addr      ),
2850
                .s14_sel_o(     m5s14_sel       ),
2851
                .s14_we_o(      m5s14_we        ),
2852
                .s14_cyc_o(     m5s14_cyc       ),
2853
                .s14_stb_o(     m5s14_stb       ),
2854
                .s14_ack_i(     m5s14_ack       ),
2855
                .s14_err_i(     m5s14_err       ),
2856
                .s14_rty_i(     m5s14_rty       ),
2857
                .s15_data_i(    m5s15_data_i    ),
2858
                .s15_data_o(    m5s15_data_o    ),
2859
                .s15_addr_o(    m5s15_addr      ),
2860
                .s15_sel_o(     m5s15_sel       ),
2861
                .s15_we_o(      m5s15_we        ),
2862
                .s15_cyc_o(     m5s15_cyc       ),
2863
                .s15_stb_o(     m5s15_stb       ),
2864
                .s15_ack_i(     m5s15_ack       ),
2865
                .s15_err_i(     m5s15_err       ),
2866
                .s15_rty_i(     m5s15_rty       )
2867
                );
2868
 
2869
wb_conmax_master_if #(dw,aw,sw) m6(
2870
                .clk_i(         clk_i           ),
2871
                .rst_i(         rst_i           ),
2872
                .wb_data_i(     m6_data_i       ),
2873
                .wb_data_o(     m6_data_o       ),
2874
                .wb_addr_i(     m6_addr_i       ),
2875
                .wb_sel_i(      m6_sel_i        ),
2876
                .wb_we_i(       m6_we_i         ),
2877
                .wb_cyc_i(      m6_cyc_i        ),
2878
                .wb_stb_i(      m6_stb_i        ),
2879
                .wb_ack_o(      m6_ack_o        ),
2880
                .wb_err_o(      m6_err_o        ),
2881
                .wb_rty_o(      m6_rty_o        ),
2882
                .s0_data_i(     m6s0_data_i     ),
2883
                .s0_data_o(     m6s0_data_o     ),
2884
                .s0_addr_o(     m6s0_addr       ),
2885
                .s0_sel_o(      m6s0_sel        ),
2886
                .s0_we_o(       m6s0_we         ),
2887
                .s0_cyc_o(      m6s0_cyc        ),
2888
                .s0_stb_o(      m6s0_stb        ),
2889
                .s0_ack_i(      m6s0_ack        ),
2890
                .s0_err_i(      m6s0_err        ),
2891
                .s0_rty_i(      m6s0_rty        ),
2892
                .s1_data_i(     m6s1_data_i     ),
2893
                .s1_data_o(     m6s1_data_o     ),
2894
                .s1_addr_o(     m6s1_addr       ),
2895
                .s1_sel_o(      m6s1_sel        ),
2896
                .s1_we_o(       m6s1_we         ),
2897
                .s1_cyc_o(      m6s1_cyc        ),
2898
                .s1_stb_o(      m6s1_stb        ),
2899
                .s1_ack_i(      m6s1_ack        ),
2900
                .s1_err_i(      m6s1_err        ),
2901
                .s1_rty_i(      m6s1_rty        ),
2902
                .s2_data_i(     m6s2_data_i     ),
2903
                .s2_data_o(     m6s2_data_o     ),
2904
                .s2_addr_o(     m6s2_addr       ),
2905
                .s2_sel_o(      m6s2_sel        ),
2906
                .s2_we_o(       m6s2_we         ),
2907
                .s2_cyc_o(      m6s2_cyc        ),
2908
                .s2_stb_o(      m6s2_stb        ),
2909
                .s2_ack_i(      m6s2_ack        ),
2910
                .s2_err_i(      m6s2_err        ),
2911
                .s2_rty_i(      m6s2_rty        ),
2912
                .s3_data_i(     m6s3_data_i     ),
2913
                .s3_data_o(     m6s3_data_o     ),
2914
                .s3_addr_o(     m6s3_addr       ),
2915
                .s3_sel_o(      m6s3_sel        ),
2916
                .s3_we_o(       m6s3_we         ),
2917
                .s3_cyc_o(      m6s3_cyc        ),
2918
                .s3_stb_o(      m6s3_stb        ),
2919
                .s3_ack_i(      m6s3_ack        ),
2920
                .s3_err_i(      m6s3_err        ),
2921
                .s3_rty_i(      m6s3_rty        ),
2922
                .s4_data_i(     m6s4_data_i     ),
2923
                .s4_data_o(     m6s4_data_o     ),
2924
                .s4_addr_o(     m6s4_addr       ),
2925
                .s4_sel_o(      m6s4_sel        ),
2926
                .s4_we_o(       m6s4_we         ),
2927
                .s4_cyc_o(      m6s4_cyc        ),
2928
                .s4_stb_o(      m6s4_stb        ),
2929
                .s4_ack_i(      m6s4_ack        ),
2930
                .s4_err_i(      m6s4_err        ),
2931
                .s4_rty_i(      m6s4_rty        ),
2932
                .s5_data_i(     m6s5_data_i     ),
2933
                .s5_data_o(     m6s5_data_o     ),
2934
                .s5_addr_o(     m6s5_addr       ),
2935
                .s5_sel_o(      m6s5_sel        ),
2936
                .s5_we_o(       m6s5_we         ),
2937
                .s5_cyc_o(      m6s5_cyc        ),
2938
                .s5_stb_o(      m6s5_stb        ),
2939
                .s5_ack_i(      m6s5_ack        ),
2940
                .s5_err_i(      m6s5_err        ),
2941
                .s5_rty_i(      m6s5_rty        ),
2942
                .s6_data_i(     m6s6_data_i     ),
2943
                .s6_data_o(     m6s6_data_o     ),
2944
                .s6_addr_o(     m6s6_addr       ),
2945
                .s6_sel_o(      m6s6_sel        ),
2946
                .s6_we_o(       m6s6_we         ),
2947
                .s6_cyc_o(      m6s6_cyc        ),
2948
                .s6_stb_o(      m6s6_stb        ),
2949
                .s6_ack_i(      m6s6_ack        ),
2950
                .s6_err_i(      m6s6_err        ),
2951
                .s6_rty_i(      m6s6_rty        ),
2952
                .s7_data_i(     m6s7_data_i     ),
2953
                .s7_data_o(     m6s7_data_o     ),
2954
                .s7_addr_o(     m6s7_addr       ),
2955
                .s7_sel_o(      m6s7_sel        ),
2956
                .s7_we_o(       m6s7_we         ),
2957
                .s7_cyc_o(      m6s7_cyc        ),
2958
                .s7_stb_o(      m6s7_stb        ),
2959
                .s7_ack_i(      m6s7_ack        ),
2960
                .s7_err_i(      m6s7_err        ),
2961
                .s7_rty_i(      m6s7_rty        ),
2962
                .s8_data_i(     m6s8_data_i     ),
2963
                .s8_data_o(     m6s8_data_o     ),
2964
                .s8_addr_o(     m6s8_addr       ),
2965
                .s8_sel_o(      m6s8_sel        ),
2966
                .s8_we_o(       m6s8_we         ),
2967
                .s8_cyc_o(      m6s8_cyc        ),
2968
                .s8_stb_o(      m6s8_stb        ),
2969
                .s8_ack_i(      m6s8_ack        ),
2970
                .s8_err_i(      m6s8_err        ),
2971
                .s8_rty_i(      m6s8_rty        ),
2972
                .s9_data_i(     m6s9_data_i     ),
2973
                .s9_data_o(     m6s9_data_o     ),
2974
                .s9_addr_o(     m6s9_addr       ),
2975
                .s9_sel_o(      m6s9_sel        ),
2976
                .s9_we_o(       m6s9_we         ),
2977
                .s9_cyc_o(      m6s9_cyc        ),
2978
                .s9_stb_o(      m6s9_stb        ),
2979
                .s9_ack_i(      m6s9_ack        ),
2980
                .s9_err_i(      m6s9_err        ),
2981
                .s9_rty_i(      m6s9_rty        ),
2982
                .s10_data_i(    m6s10_data_i    ),
2983
                .s10_data_o(    m6s10_data_o    ),
2984
                .s10_addr_o(    m6s10_addr      ),
2985
                .s10_sel_o(     m6s10_sel       ),
2986
                .s10_we_o(      m6s10_we        ),
2987
                .s10_cyc_o(     m6s10_cyc       ),
2988
                .s10_stb_o(     m6s10_stb       ),
2989
                .s10_ack_i(     m6s10_ack       ),
2990
                .s10_err_i(     m6s10_err       ),
2991
                .s10_rty_i(     m6s10_rty       ),
2992
                .s11_data_i(    m6s11_data_i    ),
2993
                .s11_data_o(    m6s11_data_o    ),
2994
                .s11_addr_o(    m6s11_addr      ),
2995
                .s11_sel_o(     m6s11_sel       ),
2996
                .s11_we_o(      m6s11_we        ),
2997
                .s11_cyc_o(     m6s11_cyc       ),
2998
                .s11_stb_o(     m6s11_stb       ),
2999
                .s11_ack_i(     m6s11_ack       ),
3000
                .s11_err_i(     m6s11_err       ),
3001
                .s11_rty_i(     m6s11_rty       ),
3002
                .s12_data_i(    m6s12_data_i    ),
3003
                .s12_data_o(    m6s12_data_o    ),
3004
                .s12_addr_o(    m6s12_addr      ),
3005
                .s12_sel_o(     m6s12_sel       ),
3006
                .s12_we_o(      m6s12_we        ),
3007
                .s12_cyc_o(     m6s12_cyc       ),
3008
                .s12_stb_o(     m6s12_stb       ),
3009
                .s12_ack_i(     m6s12_ack       ),
3010
                .s12_err_i(     m6s12_err       ),
3011
                .s12_rty_i(     m6s12_rty       ),
3012
                .s13_data_i(    m6s13_data_i    ),
3013
                .s13_data_o(    m6s13_data_o    ),
3014
                .s13_addr_o(    m6s13_addr      ),
3015
                .s13_sel_o(     m6s13_sel       ),
3016
                .s13_we_o(      m6s13_we        ),
3017
                .s13_cyc_o(     m6s13_cyc       ),
3018
                .s13_stb_o(     m6s13_stb       ),
3019
                .s13_ack_i(     m6s13_ack       ),
3020
                .s13_err_i(     m6s13_err       ),
3021
                .s13_rty_i(     m6s13_rty       ),
3022
                .s14_data_i(    m6s14_data_i    ),
3023
                .s14_data_o(    m6s14_data_o    ),
3024
                .s14_addr_o(    m6s14_addr      ),
3025
                .s14_sel_o(     m6s14_sel       ),
3026
                .s14_we_o(      m6s14_we        ),
3027
                .s14_cyc_o(     m6s14_cyc       ),
3028
                .s14_stb_o(     m6s14_stb       ),
3029
                .s14_ack_i(     m6s14_ack       ),
3030
                .s14_err_i(     m6s14_err       ),
3031
                .s14_rty_i(     m6s14_rty       ),
3032
                .s15_data_i(    m6s15_data_i    ),
3033
                .s15_data_o(    m6s15_data_o    ),
3034
                .s15_addr_o(    m6s15_addr      ),
3035
                .s15_sel_o(     m6s15_sel       ),
3036
                .s15_we_o(      m6s15_we        ),
3037
                .s15_cyc_o(     m6s15_cyc       ),
3038
                .s15_stb_o(     m6s15_stb       ),
3039
                .s15_ack_i(     m6s15_ack       ),
3040
                .s15_err_i(     m6s15_err       ),
3041
                .s15_rty_i(     m6s15_rty       )
3042
                );
3043
 
3044
wb_conmax_master_if #(dw,aw,sw) m7(
3045
                .clk_i(         clk_i           ),
3046
                .rst_i(         rst_i           ),
3047
                .wb_data_i(     m7_data_i       ),
3048
                .wb_data_o(     m7_data_o       ),
3049
                .wb_addr_i(     m7_addr_i       ),
3050
                .wb_sel_i(      m7_sel_i        ),
3051
                .wb_we_i(       m7_we_i         ),
3052
                .wb_cyc_i(      m7_cyc_i        ),
3053
                .wb_stb_i(      m7_stb_i        ),
3054
                .wb_ack_o(      m7_ack_o        ),
3055
                .wb_err_o(      m7_err_o        ),
3056
                .wb_rty_o(      m7_rty_o        ),
3057
                .s0_data_i(     m7s0_data_i     ),
3058
                .s0_data_o(     m7s0_data_o     ),
3059
                .s0_addr_o(     m7s0_addr       ),
3060
                .s0_sel_o(      m7s0_sel        ),
3061
                .s0_we_o(       m7s0_we         ),
3062
                .s0_cyc_o(      m7s0_cyc        ),
3063
                .s0_stb_o(      m7s0_stb        ),
3064
                .s0_ack_i(      m7s0_ack        ),
3065
                .s0_err_i(      m7s0_err        ),
3066
                .s0_rty_i(      m7s0_rty        ),
3067
                .s1_data_i(     m7s1_data_i     ),
3068
                .s1_data_o(     m7s1_data_o     ),
3069
                .s1_addr_o(     m7s1_addr       ),
3070
                .s1_sel_o(      m7s1_sel        ),
3071
                .s1_we_o(       m7s1_we         ),
3072
                .s1_cyc_o(      m7s1_cyc        ),
3073
                .s1_stb_o(      m7s1_stb        ),
3074
                .s1_ack_i(      m7s1_ack        ),
3075
                .s1_err_i(      m7s1_err        ),
3076
                .s1_rty_i(      m7s1_rty        ),
3077
                .s2_data_i(     m7s2_data_i     ),
3078
                .s2_data_o(     m7s2_data_o     ),
3079
                .s2_addr_o(     m7s2_addr       ),
3080
                .s2_sel_o(      m7s2_sel        ),
3081
                .s2_we_o(       m7s2_we         ),
3082
                .s2_cyc_o(      m7s2_cyc        ),
3083
                .s2_stb_o(      m7s2_stb        ),
3084
                .s2_ack_i(      m7s2_ack        ),
3085
                .s2_err_i(      m7s2_err        ),
3086
                .s2_rty_i(      m7s2_rty        ),
3087
                .s3_data_i(     m7s3_data_i     ),
3088
                .s3_data_o(     m7s3_data_o     ),
3089
                .s3_addr_o(     m7s3_addr       ),
3090
                .s3_sel_o(      m7s3_sel        ),
3091
                .s3_we_o(       m7s3_we         ),
3092
                .s3_cyc_o(      m7s3_cyc        ),
3093
                .s3_stb_o(      m7s3_stb        ),
3094
                .s3_ack_i(      m7s3_ack        ),
3095
                .s3_err_i(      m7s3_err        ),
3096
                .s3_rty_i(      m7s3_rty        ),
3097
                .s4_data_i(     m7s4_data_i     ),
3098
                .s4_data_o(     m7s4_data_o     ),
3099
                .s4_addr_o(     m7s4_addr       ),
3100
                .s4_sel_o(      m7s4_sel        ),
3101
                .s4_we_o(       m7s4_we         ),
3102
                .s4_cyc_o(      m7s4_cyc        ),
3103
                .s4_stb_o(      m7s4_stb        ),
3104
                .s4_ack_i(      m7s4_ack        ),
3105
                .s4_err_i(      m7s4_err        ),
3106
                .s4_rty_i(      m7s4_rty        ),
3107
                .s5_data_i(     m7s5_data_i     ),
3108
                .s5_data_o(     m7s5_data_o     ),
3109
                .s5_addr_o(     m7s5_addr       ),
3110
                .s5_sel_o(      m7s5_sel        ),
3111
                .s5_we_o(       m7s5_we         ),
3112
                .s5_cyc_o(      m7s5_cyc        ),
3113
                .s5_stb_o(      m7s5_stb        ),
3114
                .s5_ack_i(      m7s5_ack        ),
3115
                .s5_err_i(      m7s5_err        ),
3116
                .s5_rty_i(      m7s5_rty        ),
3117
                .s6_data_i(     m7s6_data_i     ),
3118
                .s6_data_o(     m7s6_data_o     ),
3119
                .s6_addr_o(     m7s6_addr       ),
3120
                .s6_sel_o(      m7s6_sel        ),
3121
                .s6_we_o(       m7s6_we         ),
3122
                .s6_cyc_o(      m7s6_cyc        ),
3123
                .s6_stb_o(      m7s6_stb        ),
3124
                .s6_ack_i(      m7s6_ack        ),
3125
                .s6_err_i(      m7s6_err        ),
3126
                .s6_rty_i(      m7s6_rty        ),
3127
                .s7_data_i(     m7s7_data_i     ),
3128
                .s7_data_o(     m7s7_data_o     ),
3129
                .s7_addr_o(     m7s7_addr       ),
3130
                .s7_sel_o(      m7s7_sel        ),
3131
                .s7_we_o(       m7s7_we         ),
3132
                .s7_cyc_o(      m7s7_cyc        ),
3133
                .s7_stb_o(      m7s7_stb        ),
3134
                .s7_ack_i(      m7s7_ack        ),
3135
                .s7_err_i(      m7s7_err        ),
3136
                .s7_rty_i(      m7s7_rty        ),
3137
                .s8_data_i(     m7s8_data_i     ),
3138
                .s8_data_o(     m7s8_data_o     ),
3139
                .s8_addr_o(     m7s8_addr       ),
3140
                .s8_sel_o(      m7s8_sel        ),
3141
                .s8_we_o(       m7s8_we         ),
3142
                .s8_cyc_o(      m7s8_cyc        ),
3143
                .s8_stb_o(      m7s8_stb        ),
3144
                .s8_ack_i(      m7s8_ack        ),
3145
                .s8_err_i(      m7s8_err        ),
3146
                .s8_rty_i(      m7s8_rty        ),
3147
                .s9_data_i(     m7s9_data_i     ),
3148
                .s9_data_o(     m7s9_data_o     ),
3149
                .s9_addr_o(     m7s9_addr       ),
3150
                .s9_sel_o(      m7s9_sel        ),
3151
                .s9_we_o(       m7s9_we         ),
3152
                .s9_cyc_o(      m7s9_cyc        ),
3153
                .s9_stb_o(      m7s9_stb        ),
3154
                .s9_ack_i(      m7s9_ack        ),
3155
                .s9_err_i(      m7s9_err        ),
3156
                .s9_rty_i(      m7s9_rty        ),
3157
                .s10_data_i(    m7s10_data_i    ),
3158
                .s10_data_o(    m7s10_data_o    ),
3159
                .s10_addr_o(    m7s10_addr      ),
3160
                .s10_sel_o(     m7s10_sel       ),
3161
                .s10_we_o(      m7s10_we        ),
3162
                .s10_cyc_o(     m7s10_cyc       ),
3163
                .s10_stb_o(     m7s10_stb       ),
3164
                .s10_ack_i(     m7s10_ack       ),
3165
                .s10_err_i(     m7s10_err       ),
3166
                .s10_rty_i(     m7s10_rty       ),
3167
                .s11_data_i(    m7s11_data_i    ),
3168
                .s11_data_o(    m7s11_data_o    ),
3169
                .s11_addr_o(    m7s11_addr      ),
3170
                .s11_sel_o(     m7s11_sel       ),
3171
                .s11_we_o(      m7s11_we        ),
3172
                .s11_cyc_o(     m7s11_cyc       ),
3173
                .s11_stb_o(     m7s11_stb       ),
3174
                .s11_ack_i(     m7s11_ack       ),
3175
                .s11_err_i(     m7s11_err       ),
3176
                .s11_rty_i(     m7s11_rty       ),
3177
                .s12_data_i(    m7s12_data_i    ),
3178
                .s12_data_o(    m7s12_data_o    ),
3179
                .s12_addr_o(    m7s12_addr      ),
3180
                .s12_sel_o(     m7s12_sel       ),
3181
                .s12_we_o(      m7s12_we        ),
3182
                .s12_cyc_o(     m7s12_cyc       ),
3183
                .s12_stb_o(     m7s12_stb       ),
3184
                .s12_ack_i(     m7s12_ack       ),
3185
                .s12_err_i(     m7s12_err       ),
3186
                .s12_rty_i(     m7s12_rty       ),
3187
                .s13_data_i(    m7s13_data_i    ),
3188
                .s13_data_o(    m7s13_data_o    ),
3189
                .s13_addr_o(    m7s13_addr      ),
3190
                .s13_sel_o(     m7s13_sel       ),
3191
                .s13_we_o(      m7s13_we        ),
3192
                .s13_cyc_o(     m7s13_cyc       ),
3193
                .s13_stb_o(     m7s13_stb       ),
3194
                .s13_ack_i(     m7s13_ack       ),
3195
                .s13_err_i(     m7s13_err       ),
3196
                .s13_rty_i(     m7s13_rty       ),
3197
                .s14_data_i(    m7s14_data_i    ),
3198
                .s14_data_o(    m7s14_data_o    ),
3199
                .s14_addr_o(    m7s14_addr      ),
3200
                .s14_sel_o(     m7s14_sel       ),
3201
                .s14_we_o(      m7s14_we        ),
3202
                .s14_cyc_o(     m7s14_cyc       ),
3203
                .s14_stb_o(     m7s14_stb       ),
3204
                .s14_ack_i(     m7s14_ack       ),
3205
                .s14_err_i(     m7s14_err       ),
3206
                .s14_rty_i(     m7s14_rty       ),
3207
                .s15_data_i(    m7s15_data_i    ),
3208
                .s15_data_o(    m7s15_data_o    ),
3209
                .s15_addr_o(    m7s15_addr      ),
3210
                .s15_sel_o(     m7s15_sel       ),
3211
                .s15_we_o(      m7s15_we        ),
3212
                .s15_cyc_o(     m7s15_cyc       ),
3213
                .s15_stb_o(     m7s15_stb       ),
3214
                .s15_ack_i(     m7s15_ack       ),
3215
                .s15_err_i(     m7s15_err       ),
3216
                .s15_rty_i(     m7s15_rty       )
3217
                );
3218
 
3219
////////////////////////////////////////////////////////////////////
3220
//
3221
// Slave Interfaces
3222
//
3223
 
3224
wb_conmax_slave_if #(pri_sel0,aw,dw,sw) s0(
3225
                .clk_i(         clk_i           ),
3226
                .rst_i(         rst_i           ),
3227
                .conf(          conf0           ),
3228
                .wb_data_i(     s0_data_i       ),
3229
                .wb_data_o(     s0_data_o       ),
3230
                .wb_addr_o(     s0_addr_o       ),
3231
                .wb_sel_o(      s0_sel_o        ),
3232
                .wb_we_o(       s0_we_o         ),
3233
                .wb_cyc_o(      s0_cyc_o        ),
3234
                .wb_stb_o(      s0_stb_o        ),
3235
                .wb_ack_i(      s0_ack_i        ),
3236
                .wb_err_i(      s0_err_i        ),
3237
                .wb_rty_i(      s0_rty_i        ),
3238
                .m0_data_i(     m0s0_data_o     ),
3239
                .m0_data_o(     m0s0_data_i     ),
3240
                .m0_addr_i(     m0s0_addr       ),
3241
                .m0_sel_i(      m0s0_sel        ),
3242
                .m0_we_i(       m0s0_we ),
3243
                .m0_cyc_i(      m0s0_cyc        ),
3244
                .m0_stb_i(      m0s0_stb        ),
3245
                .m0_ack_o(      m0s0_ack        ),
3246
                .m0_err_o(      m0s0_err        ),
3247
                .m0_rty_o(      m0s0_rty        ),
3248
                .m1_data_i(     m1s0_data_o      ),
3249
                .m1_data_o(     m1s0_data_i      ),
3250
                .m1_addr_i(     m1s0_addr        ),
3251
                .m1_sel_i(      m1s0_sel ),
3252
                .m1_we_i(       m1s0_we  ),
3253
                .m1_cyc_i(      m1s0_cyc ),
3254
                .m1_stb_i(      m1s0_stb ),
3255
                .m1_ack_o(      m1s0_ack ),
3256
                .m1_err_o(      m1s0_err ),
3257
                .m1_rty_o(      m1s0_rty ),
3258
                .m2_data_i(     m2s0_data_o     ),
3259
                .m2_data_o(     m2s0_data_i     ),
3260
                .m2_addr_i(     m2s0_addr       ),
3261
                .m2_sel_i(      m2s0_sel        ),
3262
                .m2_we_i(       m2s0_we ),
3263
                .m2_cyc_i(      m2s0_cyc        ),
3264
                .m2_stb_i(      m2s0_stb        ),
3265
                .m2_ack_o(      m2s0_ack        ),
3266
                .m2_err_o(      m2s0_err        ),
3267
                .m2_rty_o(      m2s0_rty        ),
3268
                .m3_data_i(     m3s0_data_o     ),
3269
                .m3_data_o(     m3s0_data_i     ),
3270
                .m3_addr_i(     m3s0_addr       ),
3271
                .m3_sel_i(      m3s0_sel        ),
3272
                .m3_we_i(       m3s0_we ),
3273
                .m3_cyc_i(      m3s0_cyc        ),
3274
                .m3_stb_i(      m3s0_stb        ),
3275
                .m3_ack_o(      m3s0_ack        ),
3276
                .m3_err_o(      m3s0_err        ),
3277
                .m3_rty_o(      m3s0_rty        ),
3278
                .m4_data_i(     m4s0_data_o     ),
3279
                .m4_data_o(     m4s0_data_i     ),
3280
                .m4_addr_i(     m4s0_addr       ),
3281
                .m4_sel_i(      m4s0_sel        ),
3282
                .m4_we_i(       m4s0_we ),
3283
                .m4_cyc_i(      m4s0_cyc        ),
3284
                .m4_stb_i(      m4s0_stb        ),
3285
                .m4_ack_o(      m4s0_ack        ),
3286
                .m4_err_o(      m4s0_err        ),
3287
                .m4_rty_o(      m4s0_rty        ),
3288
                .m5_data_i(     m5s0_data_o     ),
3289
                .m5_data_o(     m5s0_data_i     ),
3290
                .m5_addr_i(     m5s0_addr       ),
3291
                .m5_sel_i(      m5s0_sel        ),
3292
                .m5_we_i(       m5s0_we ),
3293
                .m5_cyc_i(      m5s0_cyc        ),
3294
                .m5_stb_i(      m5s0_stb        ),
3295
                .m5_ack_o(      m5s0_ack        ),
3296
                .m5_err_o(      m5s0_err        ),
3297
                .m5_rty_o(      m5s0_rty        ),
3298
                .m6_data_i(     m6s0_data_o     ),
3299
                .m6_data_o(     m6s0_data_i     ),
3300
                .m6_addr_i(     m6s0_addr       ),
3301
                .m6_sel_i(      m6s0_sel        ),
3302
                .m6_we_i(       m6s0_we ),
3303
                .m6_cyc_i(      m6s0_cyc        ),
3304
                .m6_stb_i(      m6s0_stb        ),
3305
                .m6_ack_o(      m6s0_ack        ),
3306
                .m6_err_o(      m6s0_err        ),
3307
                .m6_rty_o(      m6s0_rty        ),
3308
                .m7_data_i(     m7s0_data_o     ),
3309
                .m7_data_o(     m7s0_data_i     ),
3310
                .m7_addr_i(     m7s0_addr       ),
3311
                .m7_sel_i(      m7s0_sel        ),
3312
                .m7_we_i(       m7s0_we ),
3313
                .m7_cyc_i(      m7s0_cyc        ),
3314
                .m7_stb_i(      m7s0_stb        ),
3315
                .m7_ack_o(      m7s0_ack        ),
3316
                .m7_err_o(      m7s0_err        ),
3317
                .m7_rty_o(      m7s0_rty        )
3318
                );
3319
 
3320
wb_conmax_slave_if #(pri_sel1,aw,dw,sw) s1(
3321
                .clk_i(         clk_i           ),
3322
                .rst_i(         rst_i           ),
3323
                .conf(          conf1           ),
3324
                .wb_data_i(     s1_data_i       ),
3325
                .wb_data_o(     s1_data_o       ),
3326
                .wb_addr_o(     s1_addr_o       ),
3327
                .wb_sel_o(      s1_sel_o        ),
3328
                .wb_we_o(       s1_we_o         ),
3329
                .wb_cyc_o(      s1_cyc_o        ),
3330
                .wb_stb_o(      s1_stb_o        ),
3331
                .wb_ack_i(      s1_ack_i        ),
3332
                .wb_err_i(      s1_err_i        ),
3333
                .wb_rty_i(      s1_rty_i        ),
3334
                .m0_data_i(     m0s1_data_o     ),
3335
                .m0_data_o(     m0s1_data_i     ),
3336
                .m0_addr_i(     m0s1_addr       ),
3337
                .m0_sel_i(      m0s1_sel        ),
3338
                .m0_we_i(       m0s1_we ),
3339
                .m0_cyc_i(      m0s1_cyc        ),
3340
                .m0_stb_i(      m0s1_stb        ),
3341
                .m0_ack_o(      m0s1_ack        ),
3342
                .m0_err_o(      m0s1_err        ),
3343
                .m0_rty_o(      m0s1_rty        ),
3344
                .m1_data_i(     m1s1_data_o     ),
3345
                .m1_data_o(     m1s1_data_i     ),
3346
                .m1_addr_i(     m1s1_addr       ),
3347
                .m1_sel_i(      m1s1_sel        ),
3348
                .m1_we_i(       m1s1_we ),
3349
                .m1_cyc_i(      m1s1_cyc        ),
3350
                .m1_stb_i(      m1s1_stb        ),
3351
                .m1_ack_o(      m1s1_ack        ),
3352
                .m1_err_o(      m1s1_err        ),
3353
                .m1_rty_o(      m1s1_rty        ),
3354
                .m2_data_i(     m2s1_data_o     ),
3355
                .m2_data_o(     m2s1_data_i     ),
3356
                .m2_addr_i(     m2s1_addr       ),
3357
                .m2_sel_i(      m2s1_sel        ),
3358
                .m2_we_i(       m2s1_we ),
3359
                .m2_cyc_i(      m2s1_cyc        ),
3360
                .m2_stb_i(      m2s1_stb        ),
3361
                .m2_ack_o(      m2s1_ack        ),
3362
                .m2_err_o(      m2s1_err        ),
3363
                .m2_rty_o(      m2s1_rty        ),
3364
                .m3_data_i(     m3s1_data_o     ),
3365
                .m3_data_o(     m3s1_data_i     ),
3366
                .m3_addr_i(     m3s1_addr       ),
3367
                .m3_sel_i(      m3s1_sel        ),
3368
                .m3_we_i(       m3s1_we ),
3369
                .m3_cyc_i(      m3s1_cyc        ),
3370
                .m3_stb_i(      m3s1_stb        ),
3371
                .m3_ack_o(      m3s1_ack        ),
3372
                .m3_err_o(      m3s1_err        ),
3373
                .m3_rty_o(      m3s1_rty        ),
3374
                .m4_data_i(     m4s1_data_o     ),
3375
                .m4_data_o(     m4s1_data_i     ),
3376
                .m4_addr_i(     m4s1_addr       ),
3377
                .m4_sel_i(      m4s1_sel        ),
3378
                .m4_we_i(       m4s1_we ),
3379
                .m4_cyc_i(      m4s1_cyc        ),
3380
                .m4_stb_i(      m4s1_stb        ),
3381
                .m4_ack_o(      m4s1_ack        ),
3382
                .m4_err_o(      m4s1_err        ),
3383
                .m4_rty_o(      m4s1_rty        ),
3384
                .m5_data_i(     m5s1_data_o     ),
3385
                .m5_data_o(     m5s1_data_i     ),
3386
                .m5_addr_i(     m5s1_addr       ),
3387
                .m5_sel_i(      m5s1_sel        ),
3388
                .m5_we_i(       m5s1_we ),
3389
                .m5_cyc_i(      m5s1_cyc        ),
3390
                .m5_stb_i(      m5s1_stb        ),
3391
                .m5_ack_o(      m5s1_ack        ),
3392
                .m5_err_o(      m5s1_err        ),
3393
                .m5_rty_o(      m5s1_rty        ),
3394
                .m6_data_i(     m6s1_data_o     ),
3395
                .m6_data_o(     m6s1_data_i     ),
3396
                .m6_addr_i(     m6s1_addr       ),
3397
                .m6_sel_i(      m6s1_sel        ),
3398
                .m6_we_i(       m6s1_we ),
3399
                .m6_cyc_i(      m6s1_cyc        ),
3400
                .m6_stb_i(      m6s1_stb        ),
3401
                .m6_ack_o(      m6s1_ack        ),
3402
                .m6_err_o(      m6s1_err        ),
3403
                .m6_rty_o(      m6s1_rty        ),
3404
                .m7_data_i(     m7s1_data_o     ),
3405
                .m7_data_o(     m7s1_data_i     ),
3406
                .m7_addr_i(     m7s1_addr       ),
3407
                .m7_sel_i(      m7s1_sel        ),
3408
                .m7_we_i(       m7s1_we ),
3409
                .m7_cyc_i(      m7s1_cyc        ),
3410
                .m7_stb_i(      m7s1_stb        ),
3411
                .m7_ack_o(      m7s1_ack        ),
3412
                .m7_err_o(      m7s1_err        ),
3413
                .m7_rty_o(      m7s1_rty        )
3414
                );
3415
 
3416
wb_conmax_slave_if #(pri_sel2,aw,dw,sw) s2(
3417
                .clk_i(         clk_i           ),
3418
                .rst_i(         rst_i           ),
3419
                .conf(          conf2           ),
3420
                .wb_data_i(     s2_data_i       ),
3421
                .wb_data_o(     s2_data_o       ),
3422
                .wb_addr_o(     s2_addr_o       ),
3423
                .wb_sel_o(      s2_sel_o        ),
3424
                .wb_we_o(       s2_we_o         ),
3425
                .wb_cyc_o(      s2_cyc_o        ),
3426
                .wb_stb_o(      s2_stb_o        ),
3427
                .wb_ack_i(      s2_ack_i        ),
3428
                .wb_err_i(      s2_err_i        ),
3429
                .wb_rty_i(      s2_rty_i        ),
3430
                .m0_data_i(     m0s2_data_o     ),
3431
                .m0_data_o(     m0s2_data_i     ),
3432
                .m0_addr_i(     m0s2_addr       ),
3433
                .m0_sel_i(      m0s2_sel        ),
3434
                .m0_we_i(       m0s2_we ),
3435
                .m0_cyc_i(      m0s2_cyc        ),
3436
                .m0_stb_i(      m0s2_stb        ),
3437
                .m0_ack_o(      m0s2_ack        ),
3438
                .m0_err_o(      m0s2_err        ),
3439
                .m0_rty_o(      m0s2_rty        ),
3440
                .m1_data_i(     m1s2_data_o     ),
3441
                .m1_data_o(     m1s2_data_i     ),
3442
                .m1_addr_i(     m1s2_addr       ),
3443
                .m1_sel_i(      m1s2_sel        ),
3444
                .m1_we_i(       m1s2_we ),
3445
                .m1_cyc_i(      m1s2_cyc        ),
3446
                .m1_stb_i(      m1s2_stb        ),
3447
                .m1_ack_o(      m1s2_ack        ),
3448
                .m1_err_o(      m1s2_err        ),
3449
                .m1_rty_o(      m1s2_rty        ),
3450
                .m2_data_i(     m2s2_data_o     ),
3451
                .m2_data_o(     m2s2_data_i     ),
3452
                .m2_addr_i(     m2s2_addr       ),
3453
                .m2_sel_i(      m2s2_sel        ),
3454
                .m2_we_i(       m2s2_we ),
3455
                .m2_cyc_i(      m2s2_cyc        ),
3456
                .m2_stb_i(      m2s2_stb        ),
3457
                .m2_ack_o(      m2s2_ack        ),
3458
                .m2_err_o(      m2s2_err        ),
3459
                .m2_rty_o(      m2s2_rty        ),
3460
                .m3_data_i(     m3s2_data_o     ),
3461
                .m3_data_o(     m3s2_data_i     ),
3462
                .m3_addr_i(     m3s2_addr       ),
3463
                .m3_sel_i(      m3s2_sel        ),
3464
                .m3_we_i(       m3s2_we ),
3465
                .m3_cyc_i(      m3s2_cyc        ),
3466
                .m3_stb_i(      m3s2_stb        ),
3467
                .m3_ack_o(      m3s2_ack        ),
3468
                .m3_err_o(      m3s2_err        ),
3469
                .m3_rty_o(      m3s2_rty        ),
3470
                .m4_data_i(     m4s2_data_o     ),
3471
                .m4_data_o(     m4s2_data_i     ),
3472
                .m4_addr_i(     m4s2_addr       ),
3473
                .m4_sel_i(      m4s2_sel        ),
3474
                .m4_we_i(       m4s2_we ),
3475
                .m4_cyc_i(      m4s2_cyc        ),
3476
                .m4_stb_i(      m4s2_stb        ),
3477
                .m4_ack_o(      m4s2_ack        ),
3478
                .m4_err_o(      m4s2_err        ),
3479
                .m4_rty_o(      m4s2_rty        ),
3480
                .m5_data_i(     m5s2_data_o     ),
3481
                .m5_data_o(     m5s2_data_i     ),
3482
                .m5_addr_i(     m5s2_addr       ),
3483
                .m5_sel_i(      m5s2_sel        ),
3484
                .m5_we_i(       m5s2_we ),
3485
                .m5_cyc_i(      m5s2_cyc        ),
3486
                .m5_stb_i(      m5s2_stb        ),
3487
                .m5_ack_o(      m5s2_ack        ),
3488
                .m5_err_o(      m5s2_err        ),
3489
                .m5_rty_o(      m5s2_rty        ),
3490
                .m6_data_i(     m6s2_data_o     ),
3491
                .m6_data_o(     m6s2_data_i     ),
3492
                .m6_addr_i(     m6s2_addr       ),
3493
                .m6_sel_i(      m6s2_sel        ),
3494
                .m6_we_i(       m6s2_we ),
3495
                .m6_cyc_i(      m6s2_cyc        ),
3496
                .m6_stb_i(      m6s2_stb        ),
3497
                .m6_ack_o(      m6s2_ack        ),
3498
                .m6_err_o(      m6s2_err        ),
3499
                .m6_rty_o(      m6s2_rty        ),
3500
                .m7_data_i(     m7s2_data_o     ),
3501
                .m7_data_o(     m7s2_data_i     ),
3502
                .m7_addr_i(     m7s2_addr       ),
3503
                .m7_sel_i(      m7s2_sel        ),
3504
                .m7_we_i(       m7s2_we ),
3505
                .m7_cyc_i(      m7s2_cyc        ),
3506
                .m7_stb_i(      m7s2_stb        ),
3507
                .m7_ack_o(      m7s2_ack        ),
3508
                .m7_err_o(      m7s2_err        ),
3509
                .m7_rty_o(      m7s2_rty        )
3510
                );
3511
 
3512
wb_conmax_slave_if #(pri_sel3,aw,dw,sw) s3(
3513
                .clk_i(         clk_i           ),
3514
                .rst_i(         rst_i           ),
3515
                .conf(          conf3           ),
3516
                .wb_data_i(     s3_data_i       ),
3517
                .wb_data_o(     s3_data_o       ),
3518
                .wb_addr_o(     s3_addr_o       ),
3519
                .wb_sel_o(      s3_sel_o        ),
3520
                .wb_we_o(       s3_we_o         ),
3521
                .wb_cyc_o(      s3_cyc_o        ),
3522
                .wb_stb_o(      s3_stb_o        ),
3523
                .wb_ack_i(      s3_ack_i        ),
3524
                .wb_err_i(      s3_err_i        ),
3525
                .wb_rty_i(      s3_rty_i        ),
3526
                .m0_data_i(     m0s3_data_o     ),
3527
                .m0_data_o(     m0s3_data_i     ),
3528
                .m0_addr_i(     m0s3_addr       ),
3529
                .m0_sel_i(      m0s3_sel        ),
3530
                .m0_we_i(       m0s3_we ),
3531
                .m0_cyc_i(      m0s3_cyc        ),
3532
                .m0_stb_i(      m0s3_stb        ),
3533
                .m0_ack_o(      m0s3_ack        ),
3534
                .m0_err_o(      m0s3_err        ),
3535
                .m0_rty_o(      m0s3_rty        ),
3536
                .m1_data_i(     m1s3_data_o     ),
3537
                .m1_data_o(     m1s3_data_i     ),
3538
                .m1_addr_i(     m1s3_addr       ),
3539
                .m1_sel_i(      m1s3_sel        ),
3540
                .m1_we_i(       m1s3_we ),
3541
                .m1_cyc_i(      m1s3_cyc        ),
3542
                .m1_stb_i(      m1s3_stb        ),
3543
                .m1_ack_o(      m1s3_ack        ),
3544
                .m1_err_o(      m1s3_err        ),
3545
                .m1_rty_o(      m1s3_rty        ),
3546
                .m2_data_i(     m2s3_data_o     ),
3547
                .m2_data_o(     m2s3_data_i     ),
3548
                .m2_addr_i(     m2s3_addr       ),
3549
                .m2_sel_i(      m2s3_sel        ),
3550
                .m2_we_i(       m2s3_we ),
3551
                .m2_cyc_i(      m2s3_cyc        ),
3552
                .m2_stb_i(      m2s3_stb        ),
3553
                .m2_ack_o(      m2s3_ack        ),
3554
                .m2_err_o(      m2s3_err        ),
3555
                .m2_rty_o(      m2s3_rty        ),
3556
                .m3_data_i(     m3s3_data_o     ),
3557
                .m3_data_o(     m3s3_data_i     ),
3558
                .m3_addr_i(     m3s3_addr       ),
3559
                .m3_sel_i(      m3s3_sel        ),
3560
                .m3_we_i(       m3s3_we ),
3561
                .m3_cyc_i(      m3s3_cyc        ),
3562
                .m3_stb_i(      m3s3_stb        ),
3563
                .m3_ack_o(      m3s3_ack        ),
3564
                .m3_err_o(      m3s3_err        ),
3565
                .m3_rty_o(      m3s3_rty        ),
3566
                .m4_data_i(     m4s3_data_o     ),
3567
                .m4_data_o(     m4s3_data_i     ),
3568
                .m4_addr_i(     m4s3_addr       ),
3569
                .m4_sel_i(      m4s3_sel        ),
3570
                .m4_we_i(       m4s3_we ),
3571
                .m4_cyc_i(      m4s3_cyc        ),
3572
                .m4_stb_i(      m4s3_stb        ),
3573
                .m4_ack_o(      m4s3_ack        ),
3574
                .m4_err_o(      m4s3_err        ),
3575
                .m4_rty_o(      m4s3_rty        ),
3576
                .m5_data_i(     m5s3_data_o     ),
3577
                .m5_data_o(     m5s3_data_i     ),
3578
                .m5_addr_i(     m5s3_addr       ),
3579
                .m5_sel_i(      m5s3_sel        ),
3580
                .m5_we_i(       m5s3_we ),
3581
                .m5_cyc_i(      m5s3_cyc        ),
3582
                .m5_stb_i(      m5s3_stb        ),
3583
                .m5_ack_o(      m5s3_ack        ),
3584
                .m5_err_o(      m5s3_err        ),
3585
                .m5_rty_o(      m5s3_rty        ),
3586
                .m6_data_i(     m6s3_data_o     ),
3587
                .m6_data_o(     m6s3_data_i     ),
3588
                .m6_addr_i(     m6s3_addr       ),
3589
                .m6_sel_i(      m6s3_sel        ),
3590
                .m6_we_i(       m6s3_we ),
3591
                .m6_cyc_i(      m6s3_cyc        ),
3592
                .m6_stb_i(      m6s3_stb        ),
3593
                .m6_ack_o(      m6s3_ack        ),
3594
                .m6_err_o(      m6s3_err        ),
3595
                .m6_rty_o(      m6s3_rty        ),
3596
                .m7_data_i(     m7s3_data_o     ),
3597
                .m7_data_o(     m7s3_data_i     ),
3598
                .m7_addr_i(     m7s3_addr       ),
3599
                .m7_sel_i(      m7s3_sel        ),
3600
                .m7_we_i(       m7s3_we ),
3601
                .m7_cyc_i(      m7s3_cyc        ),
3602
                .m7_stb_i(      m7s3_stb        ),
3603
                .m7_ack_o(      m7s3_ack        ),
3604
                .m7_err_o(      m7s3_err        ),
3605
                .m7_rty_o(      m7s3_rty        )
3606
                );
3607
 
3608
wb_conmax_slave_if #(pri_sel4,aw,dw,sw) s4(
3609
                .clk_i(         clk_i           ),
3610
                .rst_i(         rst_i           ),
3611
                .conf(          conf4           ),
3612
                .wb_data_i(     s4_data_i       ),
3613
                .wb_data_o(     s4_data_o       ),
3614
                .wb_addr_o(     s4_addr_o       ),
3615
                .wb_sel_o(      s4_sel_o        ),
3616
                .wb_we_o(       s4_we_o         ),
3617
                .wb_cyc_o(      s4_cyc_o        ),
3618
                .wb_stb_o(      s4_stb_o        ),
3619
                .wb_ack_i(      s4_ack_i        ),
3620
                .wb_err_i(      s4_err_i        ),
3621
                .wb_rty_i(      s4_rty_i        ),
3622
                .m0_data_i(     m0s4_data_o     ),
3623
                .m0_data_o(     m0s4_data_i     ),
3624
                .m0_addr_i(     m0s4_addr       ),
3625
                .m0_sel_i(      m0s4_sel        ),
3626
                .m0_we_i(       m0s4_we ),
3627
                .m0_cyc_i(      m0s4_cyc        ),
3628
                .m0_stb_i(      m0s4_stb        ),
3629
                .m0_ack_o(      m0s4_ack        ),
3630
                .m0_err_o(      m0s4_err        ),
3631
                .m0_rty_o(      m0s4_rty        ),
3632
                .m1_data_i(     m1s4_data_o     ),
3633
                .m1_data_o(     m1s4_data_i     ),
3634
                .m1_addr_i(     m1s4_addr       ),
3635
                .m1_sel_i(      m1s4_sel        ),
3636
                .m1_we_i(       m1s4_we ),
3637
                .m1_cyc_i(      m1s4_cyc        ),
3638
                .m1_stb_i(      m1s4_stb        ),
3639
                .m1_ack_o(      m1s4_ack        ),
3640
                .m1_err_o(      m1s4_err        ),
3641
                .m1_rty_o(      m1s4_rty        ),
3642
                .m2_data_i(     m2s4_data_o     ),
3643
                .m2_data_o(     m2s4_data_i     ),
3644
                .m2_addr_i(     m2s4_addr       ),
3645
                .m2_sel_i(      m2s4_sel        ),
3646
                .m2_we_i(       m2s4_we ),
3647
                .m2_cyc_i(      m2s4_cyc        ),
3648
                .m2_stb_i(      m2s4_stb        ),
3649
                .m2_ack_o(      m2s4_ack        ),
3650
                .m2_err_o(      m2s4_err        ),
3651
                .m2_rty_o(      m2s4_rty        ),
3652
                .m3_data_i(     m3s4_data_o     ),
3653
                .m3_data_o(     m3s4_data_i     ),
3654
                .m3_addr_i(     m3s4_addr       ),
3655
                .m3_sel_i(      m3s4_sel        ),
3656
                .m3_we_i(       m3s4_we ),
3657
                .m3_cyc_i(      m3s4_cyc        ),
3658
                .m3_stb_i(      m3s4_stb        ),
3659
                .m3_ack_o(      m3s4_ack        ),
3660
                .m3_err_o(      m3s4_err        ),
3661
                .m3_rty_o(      m3s4_rty        ),
3662
                .m4_data_i(     m4s4_data_o     ),
3663
                .m4_data_o(     m4s4_data_i     ),
3664
                .m4_addr_i(     m4s4_addr       ),
3665
                .m4_sel_i(      m4s4_sel        ),
3666
                .m4_we_i(       m4s4_we ),
3667
                .m4_cyc_i(      m4s4_cyc        ),
3668
                .m4_stb_i(      m4s4_stb        ),
3669
                .m4_ack_o(      m4s4_ack        ),
3670
                .m4_err_o(      m4s4_err        ),
3671
                .m4_rty_o(      m4s4_rty        ),
3672
                .m5_data_i(     m5s4_data_o     ),
3673
                .m5_data_o(     m5s4_data_i     ),
3674
                .m5_addr_i(     m5s4_addr       ),
3675
                .m5_sel_i(      m5s4_sel        ),
3676
                .m5_we_i(       m5s4_we ),
3677
                .m5_cyc_i(      m5s4_cyc        ),
3678
                .m5_stb_i(      m5s4_stb        ),
3679
                .m5_ack_o(      m5s4_ack        ),
3680
                .m5_err_o(      m5s4_err        ),
3681
                .m5_rty_o(      m5s4_rty        ),
3682
                .m6_data_i(     m6s4_data_o     ),
3683
                .m6_data_o(     m6s4_data_i     ),
3684
                .m6_addr_i(     m6s4_addr       ),
3685
                .m6_sel_i(      m6s4_sel        ),
3686
                .m6_we_i(       m6s4_we ),
3687
                .m6_cyc_i(      m6s4_cyc        ),
3688
                .m6_stb_i(      m6s4_stb        ),
3689
                .m6_ack_o(      m6s4_ack        ),
3690
                .m6_err_o(      m6s4_err        ),
3691
                .m6_rty_o(      m6s4_rty        ),
3692
                .m7_data_i(     m7s4_data_o     ),
3693
                .m7_data_o(     m7s4_data_i     ),
3694
                .m7_addr_i(     m7s4_addr       ),
3695
                .m7_sel_i(      m7s4_sel        ),
3696
                .m7_we_i(       m7s4_we ),
3697
                .m7_cyc_i(      m7s4_cyc        ),
3698
                .m7_stb_i(      m7s4_stb        ),
3699
                .m7_ack_o(      m7s4_ack        ),
3700
                .m7_err_o(      m7s4_err        ),
3701
                .m7_rty_o(      m7s4_rty        )
3702
                );
3703
 
3704
wb_conmax_slave_if #(pri_sel5,aw,dw,sw) s5(
3705
                .clk_i(         clk_i           ),
3706
                .rst_i(         rst_i           ),
3707
                .conf(          conf5           ),
3708
                .wb_data_i(     s5_data_i       ),
3709
                .wb_data_o(     s5_data_o       ),
3710
                .wb_addr_o(     s5_addr_o       ),
3711
                .wb_sel_o(      s5_sel_o        ),
3712
                .wb_we_o(       s5_we_o         ),
3713
                .wb_cyc_o(      s5_cyc_o        ),
3714
                .wb_stb_o(      s5_stb_o        ),
3715
                .wb_ack_i(      s5_ack_i        ),
3716
                .wb_err_i(      s5_err_i        ),
3717
                .wb_rty_i(      s5_rty_i        ),
3718
                .m0_data_i(     m0s5_data_o     ),
3719
                .m0_data_o(     m0s5_data_i     ),
3720
                .m0_addr_i(     m0s5_addr       ),
3721
                .m0_sel_i(      m0s5_sel        ),
3722
                .m0_we_i(       m0s5_we ),
3723
                .m0_cyc_i(      m0s5_cyc        ),
3724
                .m0_stb_i(      m0s5_stb        ),
3725
                .m0_ack_o(      m0s5_ack        ),
3726
                .m0_err_o(      m0s5_err        ),
3727
                .m0_rty_o(      m0s5_rty        ),
3728
                .m1_data_i(     m1s5_data_o     ),
3729
                .m1_data_o(     m1s5_data_i     ),
3730
                .m1_addr_i(     m1s5_addr       ),
3731
                .m1_sel_i(      m1s5_sel        ),
3732
                .m1_we_i(       m1s5_we ),
3733
                .m1_cyc_i(      m1s5_cyc        ),
3734
                .m1_stb_i(      m1s5_stb        ),
3735
                .m1_ack_o(      m1s5_ack        ),
3736
                .m1_err_o(      m1s5_err        ),
3737
                .m1_rty_o(      m1s5_rty        ),
3738
                .m2_data_i(     m2s5_data_o     ),
3739
                .m2_data_o(     m2s5_data_i     ),
3740
                .m2_addr_i(     m2s5_addr       ),
3741
                .m2_sel_i(      m2s5_sel        ),
3742
                .m2_we_i(       m2s5_we ),
3743
                .m2_cyc_i(      m2s5_cyc        ),
3744
                .m2_stb_i(      m2s5_stb        ),
3745
                .m2_ack_o(      m2s5_ack        ),
3746
                .m2_err_o(      m2s5_err        ),
3747
                .m2_rty_o(      m2s5_rty        ),
3748
                .m3_data_i(     m3s5_data_o     ),
3749
                .m3_data_o(     m3s5_data_i     ),
3750
                .m3_addr_i(     m3s5_addr       ),
3751
                .m3_sel_i(      m3s5_sel        ),
3752
                .m3_we_i(       m3s5_we ),
3753
                .m3_cyc_i(      m3s5_cyc        ),
3754
                .m3_stb_i(      m3s5_stb        ),
3755
                .m3_ack_o(      m3s5_ack        ),
3756
                .m3_err_o(      m3s5_err        ),
3757
                .m3_rty_o(      m3s5_rty        ),
3758
                .m4_data_i(     m4s5_data_o     ),
3759
                .m4_data_o(     m4s5_data_i     ),
3760
                .m4_addr_i(     m4s5_addr       ),
3761
                .m4_sel_i(      m4s5_sel        ),
3762
                .m4_we_i(       m4s5_we ),
3763
                .m4_cyc_i(      m4s5_cyc        ),
3764
                .m4_stb_i(      m4s5_stb        ),
3765
                .m4_ack_o(      m4s5_ack        ),
3766
                .m4_err_o(      m4s5_err        ),
3767
                .m4_rty_o(      m4s5_rty        ),
3768
                .m5_data_i(     m5s5_data_o     ),
3769
                .m5_data_o(     m5s5_data_i     ),
3770
                .m5_addr_i(     m5s5_addr       ),
3771
                .m5_sel_i(      m5s5_sel        ),
3772
                .m5_we_i(       m5s5_we ),
3773
                .m5_cyc_i(      m5s5_cyc        ),
3774
                .m5_stb_i(      m5s5_stb        ),
3775
                .m5_ack_o(      m5s5_ack        ),
3776
                .m5_err_o(      m5s5_err        ),
3777
                .m5_rty_o(      m5s5_rty        ),
3778
                .m6_data_i(     m6s5_data_o     ),
3779
                .m6_data_o(     m6s5_data_i     ),
3780
                .m6_addr_i(     m6s5_addr       ),
3781
                .m6_sel_i(      m6s5_sel        ),
3782
                .m6_we_i(       m6s5_we ),
3783
                .m6_cyc_i(      m6s5_cyc        ),
3784
                .m6_stb_i(      m6s5_stb        ),
3785
                .m6_ack_o(      m6s5_ack        ),
3786
                .m6_err_o(      m6s5_err        ),
3787
                .m6_rty_o(      m6s5_rty        ),
3788
                .m7_data_i(     m7s5_data_o     ),
3789
                .m7_data_o(     m7s5_data_i     ),
3790
                .m7_addr_i(     m7s5_addr       ),
3791
                .m7_sel_i(      m7s5_sel        ),
3792
                .m7_we_i(       m7s5_we ),
3793
                .m7_cyc_i(      m7s5_cyc        ),
3794
                .m7_stb_i(      m7s5_stb        ),
3795
                .m7_ack_o(      m7s5_ack        ),
3796
                .m7_err_o(      m7s5_err        ),
3797
                .m7_rty_o(      m7s5_rty        )
3798
                );
3799
 
3800
wb_conmax_slave_if #(pri_sel6,aw,dw,sw) s6(
3801
                .clk_i(         clk_i           ),
3802
                .rst_i(         rst_i           ),
3803
                .conf(          conf6           ),
3804
                .wb_data_i(     s6_data_i       ),
3805
                .wb_data_o(     s6_data_o       ),
3806
                .wb_addr_o(     s6_addr_o       ),
3807
                .wb_sel_o(      s6_sel_o        ),
3808
                .wb_we_o(       s6_we_o         ),
3809
                .wb_cyc_o(      s6_cyc_o        ),
3810
                .wb_stb_o(      s6_stb_o        ),
3811
                .wb_ack_i(      s6_ack_i        ),
3812
                .wb_err_i(      s6_err_i        ),
3813
                .wb_rty_i(      s6_rty_i        ),
3814
                .m0_data_i(     m0s6_data_o     ),
3815
                .m0_data_o(     m0s6_data_i     ),
3816
                .m0_addr_i(     m0s6_addr       ),
3817
                .m0_sel_i(      m0s6_sel        ),
3818
                .m0_we_i(       m0s6_we ),
3819
                .m0_cyc_i(      m0s6_cyc        ),
3820
                .m0_stb_i(      m0s6_stb        ),
3821
                .m0_ack_o(      m0s6_ack        ),
3822
                .m0_err_o(      m0s6_err        ),
3823
                .m0_rty_o(      m0s6_rty        ),
3824
                .m1_data_i(     m1s6_data_o     ),
3825
                .m1_data_o(     m1s6_data_i     ),
3826
                .m1_addr_i(     m1s6_addr       ),
3827
                .m1_sel_i(      m1s6_sel        ),
3828
                .m1_we_i(       m1s6_we ),
3829
                .m1_cyc_i(      m1s6_cyc        ),
3830
                .m1_stb_i(      m1s6_stb        ),
3831
                .m1_ack_o(      m1s6_ack        ),
3832
                .m1_err_o(      m1s6_err        ),
3833
                .m1_rty_o(      m1s6_rty        ),
3834
                .m2_data_i(     m2s6_data_o     ),
3835
                .m2_data_o(     m2s6_data_i     ),
3836
                .m2_addr_i(     m2s6_addr       ),
3837
                .m2_sel_i(      m2s6_sel        ),
3838
                .m2_we_i(       m2s6_we ),
3839
                .m2_cyc_i(      m2s6_cyc        ),
3840
                .m2_stb_i(      m2s6_stb        ),
3841
                .m2_ack_o(      m2s6_ack        ),
3842
                .m2_err_o(      m2s6_err        ),
3843
                .m2_rty_o(      m2s6_rty        ),
3844
                .m3_data_i(     m3s6_data_o     ),
3845
                .m3_data_o(     m3s6_data_i     ),
3846
                .m3_addr_i(     m3s6_addr       ),
3847
                .m3_sel_i(      m3s6_sel        ),
3848
                .m3_we_i(       m3s6_we ),
3849
                .m3_cyc_i(      m3s6_cyc        ),
3850
                .m3_stb_i(      m3s6_stb        ),
3851
                .m3_ack_o(      m3s6_ack        ),
3852
                .m3_err_o(      m3s6_err        ),
3853
                .m3_rty_o(      m3s6_rty        ),
3854
                .m4_data_i(     m4s6_data_o     ),
3855
                .m4_data_o(     m4s6_data_i     ),
3856
                .m4_addr_i(     m4s6_addr       ),
3857
                .m4_sel_i(      m4s6_sel        ),
3858
                .m4_we_i(       m4s6_we ),
3859
                .m4_cyc_i(      m4s6_cyc        ),
3860
                .m4_stb_i(      m4s6_stb        ),
3861
                .m4_ack_o(      m4s6_ack        ),
3862
                .m4_err_o(      m4s6_err        ),
3863
                .m4_rty_o(      m4s6_rty        ),
3864
                .m5_data_i(     m5s6_data_o     ),
3865
                .m5_data_o(     m5s6_data_i     ),
3866
                .m5_addr_i(     m5s6_addr       ),
3867
                .m5_sel_i(      m5s6_sel        ),
3868
                .m5_we_i(       m5s6_we ),
3869
                .m5_cyc_i(      m5s6_cyc        ),
3870
                .m5_stb_i(      m5s6_stb        ),
3871
                .m5_ack_o(      m5s6_ack        ),
3872
                .m5_err_o(      m5s6_err        ),
3873
                .m5_rty_o(      m5s6_rty        ),
3874
                .m6_data_i(     m6s6_data_o     ),
3875
                .m6_data_o(     m6s6_data_i     ),
3876
                .m6_addr_i(     m6s6_addr       ),
3877
                .m6_sel_i(      m6s6_sel        ),
3878
                .m6_we_i(       m6s6_we ),
3879
                .m6_cyc_i(      m6s6_cyc        ),
3880
                .m6_stb_i(      m6s6_stb        ),
3881
                .m6_ack_o(      m6s6_ack        ),
3882
                .m6_err_o(      m6s6_err        ),
3883
                .m6_rty_o(      m6s6_rty        ),
3884
                .m7_data_i(     m7s6_data_o     ),
3885
                .m7_data_o(     m7s6_data_i     ),
3886
                .m7_addr_i(     m7s6_addr       ),
3887
                .m7_sel_i(      m7s6_sel        ),
3888
                .m7_we_i(       m7s6_we ),
3889
                .m7_cyc_i(      m7s6_cyc        ),
3890
                .m7_stb_i(      m7s6_stb        ),
3891
                .m7_ack_o(      m7s6_ack        ),
3892
                .m7_err_o(      m7s6_err        ),
3893
                .m7_rty_o(      m7s6_rty        )
3894
                );
3895
 
3896
wb_conmax_slave_if #(pri_sel7,aw,dw,sw) s7(
3897
                .clk_i(         clk_i           ),
3898
                .rst_i(         rst_i           ),
3899
                .conf(          conf7           ),
3900
                .wb_data_i(     s7_data_i       ),
3901
                .wb_data_o(     s7_data_o       ),
3902
                .wb_addr_o(     s7_addr_o       ),
3903
                .wb_sel_o(      s7_sel_o        ),
3904
                .wb_we_o(       s7_we_o         ),
3905
                .wb_cyc_o(      s7_cyc_o        ),
3906
                .wb_stb_o(      s7_stb_o        ),
3907
                .wb_ack_i(      s7_ack_i        ),
3908
                .wb_err_i(      s7_err_i        ),
3909
                .wb_rty_i(      s7_rty_i        ),
3910
                .m0_data_i(     m0s7_data_o     ),
3911
                .m0_data_o(     m0s7_data_i     ),
3912
                .m0_addr_i(     m0s7_addr       ),
3913
                .m0_sel_i(      m0s7_sel        ),
3914
                .m0_we_i(       m0s7_we ),
3915
                .m0_cyc_i(      m0s7_cyc        ),
3916
                .m0_stb_i(      m0s7_stb        ),
3917
                .m0_ack_o(      m0s7_ack        ),
3918
                .m0_err_o(      m0s7_err        ),
3919
                .m0_rty_o(      m0s7_rty        ),
3920
                .m1_data_i(     m1s7_data_o     ),
3921
                .m1_data_o(     m1s7_data_i     ),
3922
                .m1_addr_i(     m1s7_addr       ),
3923
                .m1_sel_i(      m1s7_sel        ),
3924
                .m1_we_i(       m1s7_we ),
3925
                .m1_cyc_i(      m1s7_cyc        ),
3926
                .m1_stb_i(      m1s7_stb        ),
3927
                .m1_ack_o(      m1s7_ack        ),
3928
                .m1_err_o(      m1s7_err        ),
3929
                .m1_rty_o(      m1s7_rty        ),
3930
                .m2_data_i(     m2s7_data_o     ),
3931
                .m2_data_o(     m2s7_data_i     ),
3932
                .m2_addr_i(     m2s7_addr       ),
3933
                .m2_sel_i(      m2s7_sel        ),
3934
                .m2_we_i(       m2s7_we ),
3935
                .m2_cyc_i(      m2s7_cyc        ),
3936
                .m2_stb_i(      m2s7_stb        ),
3937
                .m2_ack_o(      m2s7_ack        ),
3938
                .m2_err_o(      m2s7_err        ),
3939
                .m2_rty_o(      m2s7_rty        ),
3940
                .m3_data_i(     m3s7_data_o     ),
3941
                .m3_data_o(     m3s7_data_i     ),
3942
                .m3_addr_i(     m3s7_addr       ),
3943
                .m3_sel_i(      m3s7_sel        ),
3944
                .m3_we_i(       m3s7_we ),
3945
                .m3_cyc_i(      m3s7_cyc        ),
3946
                .m3_stb_i(      m3s7_stb        ),
3947
                .m3_ack_o(      m3s7_ack        ),
3948
                .m3_err_o(      m3s7_err        ),
3949
                .m3_rty_o(      m3s7_rty        ),
3950
                .m4_data_i(     m4s7_data_o     ),
3951
                .m4_data_o(     m4s7_data_i     ),
3952
                .m4_addr_i(     m4s7_addr       ),
3953
                .m4_sel_i(      m4s7_sel        ),
3954
                .m4_we_i(       m4s7_we ),
3955
                .m4_cyc_i(      m4s7_cyc        ),
3956
                .m4_stb_i(      m4s7_stb        ),
3957
                .m4_ack_o(      m4s7_ack        ),
3958
                .m4_err_o(      m4s7_err        ),
3959
                .m4_rty_o(      m4s7_rty        ),
3960
                .m5_data_i(     m5s7_data_o     ),
3961
                .m5_data_o(     m5s7_data_i     ),
3962
                .m5_addr_i(     m5s7_addr       ),
3963
                .m5_sel_i(      m5s7_sel        ),
3964
                .m5_we_i(       m5s7_we ),
3965
                .m5_cyc_i(      m5s7_cyc        ),
3966
                .m5_stb_i(      m5s7_stb        ),
3967
                .m5_ack_o(      m5s7_ack        ),
3968
                .m5_err_o(      m5s7_err        ),
3969
                .m5_rty_o(      m5s7_rty        ),
3970
                .m6_data_i(     m6s7_data_o     ),
3971
                .m6_data_o(     m6s7_data_i     ),
3972
                .m6_addr_i(     m6s7_addr       ),
3973
                .m6_sel_i(      m6s7_sel        ),
3974
                .m6_we_i(       m6s7_we ),
3975
                .m6_cyc_i(      m6s7_cyc        ),
3976
                .m6_stb_i(      m6s7_stb        ),
3977
                .m6_ack_o(      m6s7_ack        ),
3978
                .m6_err_o(      m6s7_err        ),
3979
                .m6_rty_o(      m6s7_rty        ),
3980
                .m7_data_i(     m7s7_data_o     ),
3981
                .m7_data_o(     m7s7_data_i     ),
3982
                .m7_addr_i(     m7s7_addr       ),
3983
                .m7_sel_i(      m7s7_sel        ),
3984
                .m7_we_i(       m7s7_we ),
3985
                .m7_cyc_i(      m7s7_cyc        ),
3986
                .m7_stb_i(      m7s7_stb        ),
3987
                .m7_ack_o(      m7s7_ack        ),
3988
                .m7_err_o(      m7s7_err        ),
3989
                .m7_rty_o(      m7s7_rty        )
3990
                );
3991
 
3992
wb_conmax_slave_if #(pri_sel8,aw,dw,sw) s8(
3993
                .clk_i(         clk_i           ),
3994
                .rst_i(         rst_i           ),
3995
                .conf(          conf8           ),
3996
                .wb_data_i(     s8_data_i       ),
3997
                .wb_data_o(     s8_data_o       ),
3998
                .wb_addr_o(     s8_addr_o       ),
3999
                .wb_sel_o(      s8_sel_o        ),
4000
                .wb_we_o(       s8_we_o         ),
4001
                .wb_cyc_o(      s8_cyc_o        ),
4002
                .wb_stb_o(      s8_stb_o        ),
4003
                .wb_ack_i(      s8_ack_i        ),
4004
                .wb_err_i(      s8_err_i        ),
4005
                .wb_rty_i(      s8_rty_i        ),
4006
                .m0_data_i(     m0s8_data_o     ),
4007
                .m0_data_o(     m0s8_data_i     ),
4008
                .m0_addr_i(     m0s8_addr       ),
4009
                .m0_sel_i(      m0s8_sel        ),
4010
                .m0_we_i(       m0s8_we ),
4011
                .m0_cyc_i(      m0s8_cyc        ),
4012
                .m0_stb_i(      m0s8_stb        ),
4013
                .m0_ack_o(      m0s8_ack        ),
4014
                .m0_err_o(      m0s8_err        ),
4015
                .m0_rty_o(      m0s8_rty        ),
4016
                .m1_data_i(     m1s8_data_o     ),
4017
                .m1_data_o(     m1s8_data_i     ),
4018
                .m1_addr_i(     m1s8_addr       ),
4019
                .m1_sel_i(      m1s8_sel        ),
4020
                .m1_we_i(       m1s8_we ),
4021
                .m1_cyc_i(      m1s8_cyc        ),
4022
                .m1_stb_i(      m1s8_stb        ),
4023
                .m1_ack_o(      m1s8_ack        ),
4024
                .m1_err_o(      m1s8_err        ),
4025
                .m1_rty_o(      m1s8_rty        ),
4026
                .m2_data_i(     m2s8_data_o     ),
4027
                .m2_data_o(     m2s8_data_i     ),
4028
                .m2_addr_i(     m2s8_addr       ),
4029
                .m2_sel_i(      m2s8_sel        ),
4030
                .m2_we_i(       m2s8_we ),
4031
                .m2_cyc_i(      m2s8_cyc        ),
4032
                .m2_stb_i(      m2s8_stb        ),
4033
                .m2_ack_o(      m2s8_ack        ),
4034
                .m2_err_o(      m2s8_err        ),
4035
                .m2_rty_o(      m2s8_rty        ),
4036
                .m3_data_i(     m3s8_data_o     ),
4037
                .m3_data_o(     m3s8_data_i     ),
4038
                .m3_addr_i(     m3s8_addr       ),
4039
                .m3_sel_i(      m3s8_sel        ),
4040
                .m3_we_i(       m3s8_we ),
4041
                .m3_cyc_i(      m3s8_cyc        ),
4042
                .m3_stb_i(      m3s8_stb        ),
4043
                .m3_ack_o(      m3s8_ack        ),
4044
                .m3_err_o(      m3s8_err        ),
4045
                .m3_rty_o(      m3s8_rty        ),
4046
                .m4_data_i(     m4s8_data_o     ),
4047
                .m4_data_o(     m4s8_data_i     ),
4048
                .m4_addr_i(     m4s8_addr       ),
4049
                .m4_sel_i(      m4s8_sel        ),
4050
                .m4_we_i(       m4s8_we ),
4051
                .m4_cyc_i(      m4s8_cyc        ),
4052
                .m4_stb_i(      m4s8_stb        ),
4053
                .m4_ack_o(      m4s8_ack        ),
4054
                .m4_err_o(      m4s8_err        ),
4055
                .m4_rty_o(      m4s8_rty        ),
4056
                .m5_data_i(     m5s8_data_o     ),
4057
                .m5_data_o(     m5s8_data_i     ),
4058
                .m5_addr_i(     m5s8_addr       ),
4059
                .m5_sel_i(      m5s8_sel        ),
4060
                .m5_we_i(       m5s8_we ),
4061
                .m5_cyc_i(      m5s8_cyc        ),
4062
                .m5_stb_i(      m5s8_stb        ),
4063
                .m5_ack_o(      m5s8_ack        ),
4064
                .m5_err_o(      m5s8_err        ),
4065
                .m5_rty_o(      m5s8_rty        ),
4066
                .m6_data_i(     m6s8_data_o     ),
4067
                .m6_data_o(     m6s8_data_i     ),
4068
                .m6_addr_i(     m6s8_addr       ),
4069
                .m6_sel_i(      m6s8_sel        ),
4070
                .m6_we_i(       m6s8_we ),
4071
                .m6_cyc_i(      m6s8_cyc        ),
4072
                .m6_stb_i(      m6s8_stb        ),
4073
                .m6_ack_o(      m6s8_ack        ),
4074
                .m6_err_o(      m6s8_err        ),
4075
                .m6_rty_o(      m6s8_rty        ),
4076
                .m7_data_i(     m7s8_data_o     ),
4077
                .m7_data_o(     m7s8_data_i     ),
4078
                .m7_addr_i(     m7s8_addr       ),
4079
                .m7_sel_i(      m7s8_sel        ),
4080
                .m7_we_i(       m7s8_we ),
4081
                .m7_cyc_i(      m7s8_cyc        ),
4082
                .m7_stb_i(      m7s8_stb        ),
4083
                .m7_ack_o(      m7s8_ack        ),
4084
                .m7_err_o(      m7s8_err        ),
4085
                .m7_rty_o(      m7s8_rty        )
4086
                );
4087
 
4088
wb_conmax_slave_if #(pri_sel9,aw,dw,sw) s9(
4089
                .clk_i(         clk_i           ),
4090
                .rst_i(         rst_i           ),
4091
                .conf(          conf9           ),
4092
                .wb_data_i(     s9_data_i       ),
4093
                .wb_data_o(     s9_data_o       ),
4094
                .wb_addr_o(     s9_addr_o       ),
4095
                .wb_sel_o(      s9_sel_o        ),
4096
                .wb_we_o(       s9_we_o         ),
4097
                .wb_cyc_o(      s9_cyc_o        ),
4098
                .wb_stb_o(      s9_stb_o        ),
4099
                .wb_ack_i(      s9_ack_i        ),
4100
                .wb_err_i(      s9_err_i        ),
4101
                .wb_rty_i(      s9_rty_i        ),
4102
                .m0_data_i(     m0s9_data_o     ),
4103
                .m0_data_o(     m0s9_data_i     ),
4104
                .m0_addr_i(     m0s9_addr       ),
4105
                .m0_sel_i(      m0s9_sel        ),
4106
                .m0_we_i(       m0s9_we ),
4107
                .m0_cyc_i(      m0s9_cyc        ),
4108
                .m0_stb_i(      m0s9_stb        ),
4109
                .m0_ack_o(      m0s9_ack        ),
4110
                .m0_err_o(      m0s9_err        ),
4111
                .m0_rty_o(      m0s9_rty        ),
4112
                .m1_data_i(     m1s9_data_o     ),
4113
                .m1_data_o(     m1s9_data_i     ),
4114
                .m1_addr_i(     m1s9_addr       ),
4115
                .m1_sel_i(      m1s9_sel        ),
4116
                .m1_we_i(       m1s9_we ),
4117
                .m1_cyc_i(      m1s9_cyc        ),
4118
                .m1_stb_i(      m1s9_stb        ),
4119
                .m1_ack_o(      m1s9_ack        ),
4120
                .m1_err_o(      m1s9_err        ),
4121
                .m1_rty_o(      m1s9_rty        ),
4122
                .m2_data_i(     m2s9_data_o     ),
4123
                .m2_data_o(     m2s9_data_i     ),
4124
                .m2_addr_i(     m2s9_addr       ),
4125
                .m2_sel_i(      m2s9_sel        ),
4126
                .m2_we_i(       m2s9_we ),
4127
                .m2_cyc_i(      m2s9_cyc        ),
4128
                .m2_stb_i(      m2s9_stb        ),
4129
                .m2_ack_o(      m2s9_ack        ),
4130
                .m2_err_o(      m2s9_err        ),
4131
                .m2_rty_o(      m2s9_rty        ),
4132
                .m3_data_i(     m3s9_data_o     ),
4133
                .m3_data_o(     m3s9_data_i     ),
4134
                .m3_addr_i(     m3s9_addr       ),
4135
                .m3_sel_i(      m3s9_sel        ),
4136
                .m3_we_i(       m3s9_we ),
4137
                .m3_cyc_i(      m3s9_cyc        ),
4138
                .m3_stb_i(      m3s9_stb        ),
4139
                .m3_ack_o(      m3s9_ack        ),
4140
                .m3_err_o(      m3s9_err        ),
4141
                .m3_rty_o(      m3s9_rty        ),
4142
                .m4_data_i(     m4s9_data_o     ),
4143
                .m4_data_o(     m4s9_data_i     ),
4144
                .m4_addr_i(     m4s9_addr       ),
4145
                .m4_sel_i(      m4s9_sel        ),
4146
                .m4_we_i(       m4s9_we ),
4147
                .m4_cyc_i(      m4s9_cyc        ),
4148
                .m4_stb_i(      m4s9_stb        ),
4149
                .m4_ack_o(      m4s9_ack        ),
4150
                .m4_err_o(      m4s9_err        ),
4151
                .m4_rty_o(      m4s9_rty        ),
4152
                .m5_data_i(     m5s9_data_o     ),
4153
                .m5_data_o(     m5s9_data_i     ),
4154
                .m5_addr_i(     m5s9_addr       ),
4155
                .m5_sel_i(      m5s9_sel        ),
4156
                .m5_we_i(       m5s9_we ),
4157
                .m5_cyc_i(      m5s9_cyc        ),
4158
                .m5_stb_i(      m5s9_stb        ),
4159
                .m5_ack_o(      m5s9_ack        ),
4160
                .m5_err_o(      m5s9_err        ),
4161
                .m5_rty_o(      m5s9_rty        ),
4162
                .m6_data_i(     m6s9_data_o     ),
4163
                .m6_data_o(     m6s9_data_i     ),
4164
                .m6_addr_i(     m6s9_addr       ),
4165
                .m6_sel_i(      m6s9_sel        ),
4166
                .m6_we_i(       m6s9_we ),
4167
                .m6_cyc_i(      m6s9_cyc        ),
4168
                .m6_stb_i(      m6s9_stb        ),
4169
                .m6_ack_o(      m6s9_ack        ),
4170
                .m6_err_o(      m6s9_err        ),
4171
                .m6_rty_o(      m6s9_rty        ),
4172
                .m7_data_i(     m7s9_data_o     ),
4173
                .m7_data_o(     m7s9_data_i     ),
4174
                .m7_addr_i(     m7s9_addr       ),
4175
                .m7_sel_i(      m7s9_sel        ),
4176
                .m7_we_i(       m7s9_we ),
4177
                .m7_cyc_i(      m7s9_cyc        ),
4178
                .m7_stb_i(      m7s9_stb        ),
4179
                .m7_ack_o(      m7s9_ack        ),
4180
                .m7_err_o(      m7s9_err        ),
4181
                .m7_rty_o(      m7s9_rty        )
4182
                );
4183
 
4184
wb_conmax_slave_if #(pri_sel10,aw,dw,sw) s10(
4185
                .clk_i(         clk_i           ),
4186
                .rst_i(         rst_i           ),
4187
                .conf(          conf10          ),
4188
                .wb_data_i(     s10_data_i      ),
4189
                .wb_data_o(     s10_data_o      ),
4190
                .wb_addr_o(     s10_addr_o      ),
4191
                .wb_sel_o(      s10_sel_o       ),
4192
                .wb_we_o(       s10_we_o        ),
4193
                .wb_cyc_o(      s10_cyc_o       ),
4194
                .wb_stb_o(      s10_stb_o       ),
4195
                .wb_ack_i(      s10_ack_i       ),
4196
                .wb_err_i(      s10_err_i       ),
4197
                .wb_rty_i(      s10_rty_i       ),
4198
                .m0_data_i(     m0s10_data_o    ),
4199
                .m0_data_o(     m0s10_data_i    ),
4200
                .m0_addr_i(     m0s10_addr      ),
4201
                .m0_sel_i(      m0s10_sel       ),
4202
                .m0_we_i(       m0s10_we        ),
4203
                .m0_cyc_i(      m0s10_cyc       ),
4204
                .m0_stb_i(      m0s10_stb       ),
4205
                .m0_ack_o(      m0s10_ack       ),
4206
                .m0_err_o(      m0s10_err       ),
4207
                .m0_rty_o(      m0s10_rty       ),
4208
                .m1_data_i(     m1s10_data_o    ),
4209
                .m1_data_o(     m1s10_data_i    ),
4210
                .m1_addr_i(     m1s10_addr      ),
4211
                .m1_sel_i(      m1s10_sel       ),
4212
                .m1_we_i(       m1s10_we        ),
4213
                .m1_cyc_i(      m1s10_cyc       ),
4214
                .m1_stb_i(      m1s10_stb       ),
4215
                .m1_ack_o(      m1s10_ack       ),
4216
                .m1_err_o(      m1s10_err       ),
4217
                .m1_rty_o(      m1s10_rty       ),
4218
                .m2_data_i(     m2s10_data_o    ),
4219
                .m2_data_o(     m2s10_data_i    ),
4220
                .m2_addr_i(     m2s10_addr      ),
4221
                .m2_sel_i(      m2s10_sel       ),
4222
                .m2_we_i(       m2s10_we        ),
4223
                .m2_cyc_i(      m2s10_cyc       ),
4224
                .m2_stb_i(      m2s10_stb       ),
4225
                .m2_ack_o(      m2s10_ack       ),
4226
                .m2_err_o(      m2s10_err       ),
4227
                .m2_rty_o(      m2s10_rty       ),
4228
                .m3_data_i(     m3s10_data_o    ),
4229
                .m3_data_o(     m3s10_data_i    ),
4230
                .m3_addr_i(     m3s10_addr      ),
4231
                .m3_sel_i(      m3s10_sel       ),
4232
                .m3_we_i(       m3s10_we        ),
4233
                .m3_cyc_i(      m3s10_cyc       ),
4234
                .m3_stb_i(      m3s10_stb       ),
4235
                .m3_ack_o(      m3s10_ack       ),
4236
                .m3_err_o(      m3s10_err       ),
4237
                .m3_rty_o(      m3s10_rty       ),
4238
                .m4_data_i(     m4s10_data_o    ),
4239
                .m4_data_o(     m4s10_data_i    ),
4240
                .m4_addr_i(     m4s10_addr      ),
4241
                .m4_sel_i(      m4s10_sel       ),
4242
                .m4_we_i(       m4s10_we        ),
4243
                .m4_cyc_i(      m4s10_cyc       ),
4244
                .m4_stb_i(      m4s10_stb       ),
4245
                .m4_ack_o(      m4s10_ack       ),
4246
                .m4_err_o(      m4s10_err       ),
4247
                .m4_rty_o(      m4s10_rty       ),
4248
                .m5_data_i(     m5s10_data_o    ),
4249
                .m5_data_o(     m5s10_data_i    ),
4250
                .m5_addr_i(     m5s10_addr      ),
4251
                .m5_sel_i(      m5s10_sel       ),
4252
                .m5_we_i(       m5s10_we        ),
4253
                .m5_cyc_i(      m5s10_cyc       ),
4254
                .m5_stb_i(      m5s10_stb       ),
4255
                .m5_ack_o(      m5s10_ack       ),
4256
                .m5_err_o(      m5s10_err       ),
4257
                .m5_rty_o(      m5s10_rty       ),
4258
                .m6_data_i(     m6s10_data_o    ),
4259
                .m6_data_o(     m6s10_data_i    ),
4260
                .m6_addr_i(     m6s10_addr      ),
4261
                .m6_sel_i(      m6s10_sel       ),
4262
                .m6_we_i(       m6s10_we        ),
4263
                .m6_cyc_i(      m6s10_cyc       ),
4264
                .m6_stb_i(      m6s10_stb       ),
4265
                .m6_ack_o(      m6s10_ack       ),
4266
                .m6_err_o(      m6s10_err       ),
4267
                .m6_rty_o(      m6s10_rty       ),
4268
                .m7_data_i(     m7s10_data_o    ),
4269
                .m7_data_o(     m7s10_data_i    ),
4270
                .m7_addr_i(     m7s10_addr      ),
4271
                .m7_sel_i(      m7s10_sel       ),
4272
                .m7_we_i(       m7s10_we        ),
4273
                .m7_cyc_i(      m7s10_cyc       ),
4274
                .m7_stb_i(      m7s10_stb       ),
4275
                .m7_ack_o(      m7s10_ack       ),
4276
                .m7_err_o(      m7s10_err       ),
4277
                .m7_rty_o(      m7s10_rty       )
4278
                );
4279
 
4280
wb_conmax_slave_if #(pri_sel11,aw,dw,sw) s11(
4281
                .clk_i(         clk_i           ),
4282
                .rst_i(         rst_i           ),
4283
                .conf(          conf11          ),
4284
                .wb_data_i(     s11_data_i      ),
4285
                .wb_data_o(     s11_data_o      ),
4286
                .wb_addr_o(     s11_addr_o      ),
4287
                .wb_sel_o(      s11_sel_o       ),
4288
                .wb_we_o(       s11_we_o        ),
4289
                .wb_cyc_o(      s11_cyc_o       ),
4290
                .wb_stb_o(      s11_stb_o       ),
4291
                .wb_ack_i(      s11_ack_i       ),
4292
                .wb_err_i(      s11_err_i       ),
4293
                .wb_rty_i(      s11_rty_i       ),
4294
                .m0_data_i(     m0s11_data_o    ),
4295
                .m0_data_o(     m0s11_data_i    ),
4296
                .m0_addr_i(     m0s11_addr      ),
4297
                .m0_sel_i(      m0s11_sel       ),
4298
                .m0_we_i(       m0s11_we        ),
4299
                .m0_cyc_i(      m0s11_cyc       ),
4300
                .m0_stb_i(      m0s11_stb       ),
4301
                .m0_ack_o(      m0s11_ack       ),
4302
                .m0_err_o(      m0s11_err       ),
4303
                .m0_rty_o(      m0s11_rty       ),
4304
                .m1_data_i(     m1s11_data_o    ),
4305
                .m1_data_o(     m1s11_data_i    ),
4306
                .m1_addr_i(     m1s11_addr      ),
4307
                .m1_sel_i(      m1s11_sel       ),
4308
                .m1_we_i(       m1s11_we        ),
4309
                .m1_cyc_i(      m1s11_cyc       ),
4310
                .m1_stb_i(      m1s11_stb       ),
4311
                .m1_ack_o(      m1s11_ack       ),
4312
                .m1_err_o(      m1s11_err       ),
4313
                .m1_rty_o(      m1s11_rty       ),
4314
                .m2_data_i(     m2s11_data_o    ),
4315
                .m2_data_o(     m2s11_data_i    ),
4316
                .m2_addr_i(     m2s11_addr      ),
4317
                .m2_sel_i(      m2s11_sel       ),
4318
                .m2_we_i(       m2s11_we        ),
4319
                .m2_cyc_i(      m2s11_cyc       ),
4320
                .m2_stb_i(      m2s11_stb       ),
4321
                .m2_ack_o(      m2s11_ack       ),
4322
                .m2_err_o(      m2s11_err       ),
4323
                .m2_rty_o(      m2s11_rty       ),
4324
                .m3_data_i(     m3s11_data_o    ),
4325
                .m3_data_o(     m3s11_data_i    ),
4326
                .m3_addr_i(     m3s11_addr      ),
4327
                .m3_sel_i(      m3s11_sel       ),
4328
                .m3_we_i(       m3s11_we        ),
4329
                .m3_cyc_i(      m3s11_cyc       ),
4330
                .m3_stb_i(      m3s11_stb       ),
4331
                .m3_ack_o(      m3s11_ack       ),
4332
                .m3_err_o(      m3s11_err       ),
4333
                .m3_rty_o(      m3s11_rty       ),
4334
                .m4_data_i(     m4s11_data_o    ),
4335
                .m4_data_o(     m4s11_data_i    ),
4336
                .m4_addr_i(     m4s11_addr      ),
4337
                .m4_sel_i(      m4s11_sel       ),
4338
                .m4_we_i(       m4s11_we        ),
4339
                .m4_cyc_i(      m4s11_cyc       ),
4340
                .m4_stb_i(      m4s11_stb       ),
4341
                .m4_ack_o(      m4s11_ack       ),
4342
                .m4_err_o(      m4s11_err       ),
4343
                .m4_rty_o(      m4s11_rty       ),
4344
                .m5_data_i(     m5s11_data_o    ),
4345
                .m5_data_o(     m5s11_data_i    ),
4346
                .m5_addr_i(     m5s11_addr      ),
4347
                .m5_sel_i(      m5s11_sel       ),
4348
                .m5_we_i(       m5s11_we        ),
4349
                .m5_cyc_i(      m5s11_cyc       ),
4350
                .m5_stb_i(      m5s11_stb       ),
4351
                .m5_ack_o(      m5s11_ack       ),
4352
                .m5_err_o(      m5s11_err       ),
4353
                .m5_rty_o(      m5s11_rty       ),
4354
                .m6_data_i(     m6s11_data_o    ),
4355
                .m6_data_o(     m6s11_data_i    ),
4356
                .m6_addr_i(     m6s11_addr      ),
4357
                .m6_sel_i(      m6s11_sel       ),
4358
                .m6_we_i(       m6s11_we        ),
4359
                .m6_cyc_i(      m6s11_cyc       ),
4360
                .m6_stb_i(      m6s11_stb       ),
4361
                .m6_ack_o(      m6s11_ack       ),
4362
                .m6_err_o(      m6s11_err       ),
4363
                .m6_rty_o(      m6s11_rty       ),
4364
                .m7_data_i(     m7s11_data_o    ),
4365
                .m7_data_o(     m7s11_data_i    ),
4366
                .m7_addr_i(     m7s11_addr      ),
4367
                .m7_sel_i(      m7s11_sel       ),
4368
                .m7_we_i(       m7s11_we        ),
4369
                .m7_cyc_i(      m7s11_cyc       ),
4370
                .m7_stb_i(      m7s11_stb       ),
4371
                .m7_ack_o(      m7s11_ack       ),
4372
                .m7_err_o(      m7s11_err       ),
4373
                .m7_rty_o(      m7s11_rty       )
4374
                );
4375
 
4376
wb_conmax_slave_if #(pri_sel12,aw,dw,sw) s12(
4377
                .clk_i(         clk_i           ),
4378
                .rst_i(         rst_i           ),
4379
                .conf(          conf12          ),
4380
                .wb_data_i(     s12_data_i      ),
4381
                .wb_data_o(     s12_data_o      ),
4382
                .wb_addr_o(     s12_addr_o      ),
4383
                .wb_sel_o(      s12_sel_o       ),
4384
                .wb_we_o(       s12_we_o        ),
4385
                .wb_cyc_o(      s12_cyc_o       ),
4386
                .wb_stb_o(      s12_stb_o       ),
4387
                .wb_ack_i(      s12_ack_i       ),
4388
                .wb_err_i(      s12_err_i       ),
4389
                .wb_rty_i(      s12_rty_i       ),
4390
                .m0_data_i(     m0s12_data_o    ),
4391
                .m0_data_o(     m0s12_data_i    ),
4392
                .m0_addr_i(     m0s12_addr      ),
4393
                .m0_sel_i(      m0s12_sel       ),
4394
                .m0_we_i(       m0s12_we        ),
4395
                .m0_cyc_i(      m0s12_cyc       ),
4396
                .m0_stb_i(      m0s12_stb       ),
4397
                .m0_ack_o(      m0s12_ack       ),
4398
                .m0_err_o(      m0s12_err       ),
4399
                .m0_rty_o(      m0s12_rty       ),
4400
                .m1_data_i(     m1s12_data_o    ),
4401
                .m1_data_o(     m1s12_data_i    ),
4402
                .m1_addr_i(     m1s12_addr      ),
4403
                .m1_sel_i(      m1s12_sel       ),
4404
                .m1_we_i(       m1s12_we        ),
4405
                .m1_cyc_i(      m1s12_cyc       ),
4406
                .m1_stb_i(      m1s12_stb       ),
4407
                .m1_ack_o(      m1s12_ack       ),
4408
                .m1_err_o(      m1s12_err       ),
4409
                .m1_rty_o(      m1s12_rty       ),
4410
                .m2_data_i(     m2s12_data_o    ),
4411
                .m2_data_o(     m2s12_data_i    ),
4412
                .m2_addr_i(     m2s12_addr      ),
4413
                .m2_sel_i(      m2s12_sel       ),
4414
                .m2_we_i(       m2s12_we        ),
4415
                .m2_cyc_i(      m2s12_cyc       ),
4416
                .m2_stb_i(      m2s12_stb       ),
4417
                .m2_ack_o(      m2s12_ack       ),
4418
                .m2_err_o(      m2s12_err       ),
4419
                .m2_rty_o(      m2s12_rty       ),
4420
                .m3_data_i(     m3s12_data_o    ),
4421
                .m3_data_o(     m3s12_data_i    ),
4422
                .m3_addr_i(     m3s12_addr      ),
4423
                .m3_sel_i(      m3s12_sel       ),
4424
                .m3_we_i(       m3s12_we        ),
4425
                .m3_cyc_i(      m3s12_cyc       ),
4426
                .m3_stb_i(      m3s12_stb       ),
4427
                .m3_ack_o(      m3s12_ack       ),
4428
                .m3_err_o(      m3s12_err       ),
4429
                .m3_rty_o(      m3s12_rty       ),
4430
                .m4_data_i(     m4s12_data_o    ),
4431
                .m4_data_o(     m4s12_data_i    ),
4432
                .m4_addr_i(     m4s12_addr      ),
4433
                .m4_sel_i(      m4s12_sel       ),
4434
                .m4_we_i(       m4s12_we        ),
4435
                .m4_cyc_i(      m4s12_cyc       ),
4436
                .m4_stb_i(      m4s12_stb       ),
4437
                .m4_ack_o(      m4s12_ack       ),
4438
                .m4_err_o(      m4s12_err       ),
4439
                .m4_rty_o(      m4s12_rty       ),
4440
                .m5_data_i(     m5s12_data_o    ),
4441
                .m5_data_o(     m5s12_data_i    ),
4442
                .m5_addr_i(     m5s12_addr      ),
4443
                .m5_sel_i(      m5s12_sel       ),
4444
                .m5_we_i(       m5s12_we        ),
4445
                .m5_cyc_i(      m5s12_cyc       ),
4446
                .m5_stb_i(      m5s12_stb       ),
4447
                .m5_ack_o(      m5s12_ack       ),
4448
                .m5_err_o(      m5s12_err       ),
4449
                .m5_rty_o(      m5s12_rty       ),
4450
                .m6_data_i(     m6s12_data_o    ),
4451
                .m6_data_o(     m6s12_data_i    ),
4452
                .m6_addr_i(     m6s12_addr      ),
4453
                .m6_sel_i(      m6s12_sel       ),
4454
                .m6_we_i(       m6s12_we        ),
4455
                .m6_cyc_i(      m6s12_cyc       ),
4456
                .m6_stb_i(      m6s12_stb       ),
4457
                .m6_ack_o(      m6s12_ack       ),
4458
                .m6_err_o(      m6s12_err       ),
4459
                .m6_rty_o(      m6s12_rty       ),
4460
                .m7_data_i(     m7s12_data_o    ),
4461
                .m7_data_o(     m7s12_data_i    ),
4462
                .m7_addr_i(     m7s12_addr      ),
4463
                .m7_sel_i(      m7s12_sel       ),
4464
                .m7_we_i(       m7s12_we        ),
4465
                .m7_cyc_i(      m7s12_cyc       ),
4466
                .m7_stb_i(      m7s12_stb       ),
4467
                .m7_ack_o(      m7s12_ack       ),
4468
                .m7_err_o(      m7s12_err       ),
4469
                .m7_rty_o(      m7s12_rty       )
4470
                );
4471
 
4472
wb_conmax_slave_if #(pri_sel13,aw,dw,sw) s13(
4473
                .clk_i(         clk_i           ),
4474
                .rst_i(         rst_i           ),
4475
                .conf(          conf13          ),
4476
                .wb_data_i(     s13_data_i      ),
4477
                .wb_data_o(     s13_data_o      ),
4478
                .wb_addr_o(     s13_addr_o      ),
4479
                .wb_sel_o(      s13_sel_o       ),
4480
                .wb_we_o(       s13_we_o        ),
4481
                .wb_cyc_o(      s13_cyc_o       ),
4482
                .wb_stb_o(      s13_stb_o       ),
4483
                .wb_ack_i(      s13_ack_i       ),
4484
                .wb_err_i(      s13_err_i       ),
4485
                .wb_rty_i(      s13_rty_i       ),
4486
                .m0_data_i(     m0s13_data_o    ),
4487
                .m0_data_o(     m0s13_data_i    ),
4488
                .m0_addr_i(     m0s13_addr      ),
4489
                .m0_sel_i(      m0s13_sel       ),
4490
                .m0_we_i(       m0s13_we        ),
4491
                .m0_cyc_i(      m0s13_cyc       ),
4492
                .m0_stb_i(      m0s13_stb       ),
4493
                .m0_ack_o(      m0s13_ack       ),
4494
                .m0_err_o(      m0s13_err       ),
4495
                .m0_rty_o(      m0s13_rty       ),
4496
                .m1_data_i(     m1s13_data_o    ),
4497
                .m1_data_o(     m1s13_data_i    ),
4498
                .m1_addr_i(     m1s13_addr      ),
4499
                .m1_sel_i(      m1s13_sel       ),
4500
                .m1_we_i(       m1s13_we        ),
4501
                .m1_cyc_i(      m1s13_cyc       ),
4502
                .m1_stb_i(      m1s13_stb       ),
4503
                .m1_ack_o(      m1s13_ack       ),
4504
                .m1_err_o(      m1s13_err       ),
4505
                .m1_rty_o(      m1s13_rty       ),
4506
                .m2_data_i(     m2s13_data_o    ),
4507
                .m2_data_o(     m2s13_data_i    ),
4508
                .m2_addr_i(     m2s13_addr      ),
4509
                .m2_sel_i(      m2s13_sel       ),
4510
                .m2_we_i(       m2s13_we        ),
4511
                .m2_cyc_i(      m2s13_cyc       ),
4512
                .m2_stb_i(      m2s13_stb       ),
4513
                .m2_ack_o(      m2s13_ack       ),
4514
                .m2_err_o(      m2s13_err       ),
4515
                .m2_rty_o(      m2s13_rty       ),
4516
                .m3_data_i(     m3s13_data_o    ),
4517
                .m3_data_o(     m3s13_data_i    ),
4518
                .m3_addr_i(     m3s13_addr      ),
4519
                .m3_sel_i(      m3s13_sel       ),
4520
                .m3_we_i(       m3s13_we        ),
4521
                .m3_cyc_i(      m3s13_cyc       ),
4522
                .m3_stb_i(      m3s13_stb       ),
4523
                .m3_ack_o(      m3s13_ack       ),
4524
                .m3_err_o(      m3s13_err       ),
4525
                .m3_rty_o(      m3s13_rty       ),
4526
                .m4_data_i(     m4s13_data_o    ),
4527
                .m4_data_o(     m4s13_data_i    ),
4528
                .m4_addr_i(     m4s13_addr      ),
4529
                .m4_sel_i(      m4s13_sel       ),
4530
                .m4_we_i(       m4s13_we        ),
4531
                .m4_cyc_i(      m4s13_cyc       ),
4532
                .m4_stb_i(      m4s13_stb       ),
4533
                .m4_ack_o(      m4s13_ack       ),
4534
                .m4_err_o(      m4s13_err       ),
4535
                .m4_rty_o(      m4s13_rty       ),
4536
                .m5_data_i(     m5s13_data_o    ),
4537
                .m5_data_o(     m5s13_data_i    ),
4538
                .m5_addr_i(     m5s13_addr      ),
4539
                .m5_sel_i(      m5s13_sel       ),
4540
                .m5_we_i(       m5s13_we        ),
4541
                .m5_cyc_i(      m5s13_cyc       ),
4542
                .m5_stb_i(      m5s13_stb       ),
4543
                .m5_ack_o(      m5s13_ack       ),
4544
                .m5_err_o(      m5s13_err       ),
4545
                .m5_rty_o(      m5s13_rty       ),
4546
                .m6_data_i(     m6s13_data_o    ),
4547
                .m6_data_o(     m6s13_data_i    ),
4548
                .m6_addr_i(     m6s13_addr      ),
4549
                .m6_sel_i(      m6s13_sel       ),
4550
                .m6_we_i(       m6s13_we        ),
4551
                .m6_cyc_i(      m6s13_cyc       ),
4552
                .m6_stb_i(      m6s13_stb       ),
4553
                .m6_ack_o(      m6s13_ack       ),
4554
                .m6_err_o(      m6s13_err       ),
4555
                .m6_rty_o(      m6s13_rty       ),
4556
                .m7_data_i(     m7s13_data_o    ),
4557
                .m7_data_o(     m7s13_data_i    ),
4558
                .m7_addr_i(     m7s13_addr      ),
4559
                .m7_sel_i(      m7s13_sel       ),
4560
                .m7_we_i(       m7s13_we        ),
4561
                .m7_cyc_i(      m7s13_cyc       ),
4562
                .m7_stb_i(      m7s13_stb       ),
4563
                .m7_ack_o(      m7s13_ack       ),
4564
                .m7_err_o(      m7s13_err       ),
4565
                .m7_rty_o(      m7s13_rty       )
4566
                );
4567
 
4568
wb_conmax_slave_if #(pri_sel14,aw,dw,sw) s14(
4569
                .clk_i(         clk_i           ),
4570
                .rst_i(         rst_i           ),
4571
                .conf(          conf14          ),
4572
                .wb_data_i(     s14_data_i      ),
4573
                .wb_data_o(     s14_data_o      ),
4574
                .wb_addr_o(     s14_addr_o      ),
4575
                .wb_sel_o(      s14_sel_o       ),
4576
                .wb_we_o(       s14_we_o        ),
4577
                .wb_cyc_o(      s14_cyc_o       ),
4578
                .wb_stb_o(      s14_stb_o       ),
4579
                .wb_ack_i(      s14_ack_i       ),
4580
                .wb_err_i(      s14_err_i       ),
4581
                .wb_rty_i(      s14_rty_i       ),
4582
                .m0_data_i(     m0s14_data_o    ),
4583
                .m0_data_o(     m0s14_data_i    ),
4584
                .m0_addr_i(     m0s14_addr      ),
4585
                .m0_sel_i(      m0s14_sel       ),
4586
                .m0_we_i(       m0s14_we        ),
4587
                .m0_cyc_i(      m0s14_cyc       ),
4588
                .m0_stb_i(      m0s14_stb       ),
4589
                .m0_ack_o(      m0s14_ack       ),
4590
                .m0_err_o(      m0s14_err       ),
4591
                .m0_rty_o(      m0s14_rty       ),
4592
                .m1_data_i(     m1s14_data_o    ),
4593
                .m1_data_o(     m1s14_data_i    ),
4594
                .m1_addr_i(     m1s14_addr      ),
4595
                .m1_sel_i(      m1s14_sel       ),
4596
                .m1_we_i(       m1s14_we        ),
4597
                .m1_cyc_i(      m1s14_cyc       ),
4598
                .m1_stb_i(      m1s14_stb       ),
4599
                .m1_ack_o(      m1s14_ack       ),
4600
                .m1_err_o(      m1s14_err       ),
4601
                .m1_rty_o(      m1s14_rty       ),
4602
                .m2_data_i(     m2s14_data_o    ),
4603
                .m2_data_o(     m2s14_data_i    ),
4604
                .m2_addr_i(     m2s14_addr      ),
4605
                .m2_sel_i(      m2s14_sel       ),
4606
                .m2_we_i(       m2s14_we        ),
4607
                .m2_cyc_i(      m2s14_cyc       ),
4608
                .m2_stb_i(      m2s14_stb       ),
4609
                .m2_ack_o(      m2s14_ack       ),
4610
                .m2_err_o(      m2s14_err       ),
4611
                .m2_rty_o(      m2s14_rty       ),
4612
                .m3_data_i(     m3s14_data_o    ),
4613
                .m3_data_o(     m3s14_data_i    ),
4614
                .m3_addr_i(     m3s14_addr      ),
4615
                .m3_sel_i(      m3s14_sel       ),
4616
                .m3_we_i(       m3s14_we        ),
4617
                .m3_cyc_i(      m3s14_cyc       ),
4618
                .m3_stb_i(      m3s14_stb       ),
4619
                .m3_ack_o(      m3s14_ack       ),
4620
                .m3_err_o(      m3s14_err       ),
4621
                .m3_rty_o(      m3s14_rty       ),
4622
                .m4_data_i(     m4s14_data_o    ),
4623
                .m4_data_o(     m4s14_data_i    ),
4624
                .m4_addr_i(     m4s14_addr      ),
4625
                .m4_sel_i(      m4s14_sel       ),
4626
                .m4_we_i(       m4s14_we        ),
4627
                .m4_cyc_i(      m4s14_cyc       ),
4628
                .m4_stb_i(      m4s14_stb       ),
4629
                .m4_ack_o(      m4s14_ack       ),
4630
                .m4_err_o(      m4s14_err       ),
4631
                .m4_rty_o(      m4s14_rty       ),
4632
                .m5_data_i(     m5s14_data_o    ),
4633
                .m5_data_o(     m5s14_data_i    ),
4634
                .m5_addr_i(     m5s14_addr      ),
4635
                .m5_sel_i(      m5s14_sel       ),
4636
                .m5_we_i(       m5s14_we        ),
4637
                .m5_cyc_i(      m5s14_cyc       ),
4638
                .m5_stb_i(      m5s14_stb       ),
4639
                .m5_ack_o(      m5s14_ack       ),
4640
                .m5_err_o(      m5s14_err       ),
4641
                .m5_rty_o(      m5s14_rty       ),
4642
                .m6_data_i(     m6s14_data_o    ),
4643
                .m6_data_o(     m6s14_data_i    ),
4644
                .m6_addr_i(     m6s14_addr      ),
4645
                .m6_sel_i(      m6s14_sel       ),
4646
                .m6_we_i(       m6s14_we        ),
4647
                .m6_cyc_i(      m6s14_cyc       ),
4648
                .m6_stb_i(      m6s14_stb       ),
4649
                .m6_ack_o(      m6s14_ack       ),
4650
                .m6_err_o(      m6s14_err       ),
4651
                .m6_rty_o(      m6s14_rty       ),
4652
                .m7_data_i(     m7s14_data_o    ),
4653
                .m7_data_o(     m7s14_data_i    ),
4654
                .m7_addr_i(     m7s14_addr      ),
4655
                .m7_sel_i(      m7s14_sel       ),
4656
                .m7_we_i(       m7s14_we        ),
4657
                .m7_cyc_i(      m7s14_cyc       ),
4658
                .m7_stb_i(      m7s14_stb       ),
4659
                .m7_ack_o(      m7s14_ack       ),
4660
                .m7_err_o(      m7s14_err       ),
4661
                .m7_rty_o(      m7s14_rty       )
4662
                );
4663
 
4664
wb_conmax_slave_if #(pri_sel15,aw,dw,sw) s15(
4665
                .clk_i(         clk_i           ),
4666
                .rst_i(         rst_i           ),
4667
                .conf(          conf15          ),
4668
                .wb_data_i(     i_s15_data_i    ),
4669
                .wb_data_o(     i_s15_data_o    ),
4670
                .wb_addr_o(     i_s15_addr_o    ),
4671
                .wb_sel_o(      i_s15_sel_o     ),
4672
                .wb_we_o(       i_s15_we_o      ),
4673
                .wb_cyc_o(      i_s15_cyc_o     ),
4674
                .wb_stb_o(      i_s15_stb_o     ),
4675
                .wb_ack_i(      i_s15_ack_i     ),
4676
                .wb_err_i(      i_s15_err_i     ),
4677
                .wb_rty_i(      i_s15_rty_i     ),
4678
                .m0_data_i(     m0s15_data_o    ),
4679
                .m0_data_o(     m0s15_data_i    ),
4680
                .m0_addr_i(     m0s15_addr      ),
4681
                .m0_sel_i(      m0s15_sel       ),
4682
                .m0_we_i(       m0s15_we        ),
4683
                .m0_cyc_i(      m0s15_cyc       ),
4684
                .m0_stb_i(      m0s15_stb       ),
4685
                .m0_ack_o(      m0s15_ack       ),
4686
                .m0_err_o(      m0s15_err       ),
4687
                .m0_rty_o(      m0s15_rty       ),
4688
                .m1_data_i(     m1s15_data_o    ),
4689
                .m1_data_o(     m1s15_data_i    ),
4690
                .m1_addr_i(     m1s15_addr      ),
4691
                .m1_sel_i(      m1s15_sel       ),
4692
                .m1_we_i(       m1s15_we        ),
4693
                .m1_cyc_i(      m1s15_cyc       ),
4694
                .m1_stb_i(      m1s15_stb       ),
4695
                .m1_ack_o(      m1s15_ack       ),
4696
                .m1_err_o(      m1s15_err       ),
4697
                .m1_rty_o(      m1s15_rty       ),
4698
                .m2_data_i(     m2s15_data_o    ),
4699
                .m2_data_o(     m2s15_data_i    ),
4700
                .m2_addr_i(     m2s15_addr      ),
4701
                .m2_sel_i(      m2s15_sel       ),
4702
                .m2_we_i(       m2s15_we        ),
4703
                .m2_cyc_i(      m2s15_cyc       ),
4704
                .m2_stb_i(      m2s15_stb       ),
4705
                .m2_ack_o(      m2s15_ack       ),
4706
                .m2_err_o(      m2s15_err       ),
4707
                .m2_rty_o(      m2s15_rty       ),
4708
                .m3_data_i(     m3s15_data_o    ),
4709
                .m3_data_o(     m3s15_data_i    ),
4710
                .m3_addr_i(     m3s15_addr      ),
4711
                .m3_sel_i(      m3s15_sel       ),
4712
                .m3_we_i(       m3s15_we        ),
4713
                .m3_cyc_i(      m3s15_cyc       ),
4714
                .m3_stb_i(      m3s15_stb       ),
4715
                .m3_ack_o(      m3s15_ack       ),
4716
                .m3_err_o(      m3s15_err       ),
4717
                .m3_rty_o(      m3s15_rty       ),
4718
                .m4_data_i(     m4s15_data_o    ),
4719
                .m4_data_o(     m4s15_data_i    ),
4720
                .m4_addr_i(     m4s15_addr      ),
4721
                .m4_sel_i(      m4s15_sel       ),
4722
                .m4_we_i(       m4s15_we        ),
4723
                .m4_cyc_i(      m4s15_cyc       ),
4724
                .m4_stb_i(      m4s15_stb       ),
4725
                .m4_ack_o(      m4s15_ack       ),
4726
                .m4_err_o(      m4s15_err       ),
4727
                .m4_rty_o(      m4s15_rty       ),
4728
                .m5_data_i(     m5s15_data_o    ),
4729
                .m5_data_o(     m5s15_data_i    ),
4730
                .m5_addr_i(     m5s15_addr      ),
4731
                .m5_sel_i(      m5s15_sel       ),
4732
                .m5_we_i(       m5s15_we        ),
4733
                .m5_cyc_i(      m5s15_cyc       ),
4734
                .m5_stb_i(      m5s15_stb       ),
4735
                .m5_ack_o(      m5s15_ack       ),
4736
                .m5_err_o(      m5s15_err       ),
4737
                .m5_rty_o(      m5s15_rty       ),
4738
                .m6_data_i(     m6s15_data_o    ),
4739
                .m6_data_o(     m6s15_data_i    ),
4740
                .m6_addr_i(     m6s15_addr      ),
4741
                .m6_sel_i(      m6s15_sel       ),
4742
                .m6_we_i(       m6s15_we        ),
4743
                .m6_cyc_i(      m6s15_cyc       ),
4744
                .m6_stb_i(      m6s15_stb       ),
4745
                .m6_ack_o(      m6s15_ack       ),
4746
                .m6_err_o(      m6s15_err       ),
4747
                .m6_rty_o(      m6s15_rty       ),
4748
                .m7_data_i(     m7s15_data_o    ),
4749
                .m7_data_o(     m7s15_data_i    ),
4750
                .m7_addr_i(     m7s15_addr      ),
4751
                .m7_sel_i(      m7s15_sel       ),
4752
                .m7_we_i(       m7s15_we        ),
4753
                .m7_cyc_i(      m7s15_cyc       ),
4754
                .m7_stb_i(      m7s15_stb       ),
4755
                .m7_ack_o(      m7s15_ack       ),
4756
                .m7_err_o(      m7s15_err       ),
4757
                .m7_rty_o(      m7s15_rty       )
4758
                );
4759
 
4760
wb_conmax_rf #(rf_addr,dw,aw,sw) rf(
4761
                .clk_i(         clk_i           ),
4762
                .rst_i(         rst_i           ),
4763
                .i_wb_data_i(   i_s15_data_o    ),
4764
                .i_wb_data_o(   i_s15_data_i    ),
4765
                .i_wb_addr_i(   i_s15_addr_o    ),
4766
                .i_wb_sel_i(    i_s15_sel_o     ),
4767
                .i_wb_we_i(     i_s15_we_o      ),
4768
                .i_wb_cyc_i(    i_s15_cyc_o     ),
4769
                .i_wb_stb_i(    i_s15_stb_o     ),
4770
                .i_wb_ack_o(    i_s15_ack_i     ),
4771
                .i_wb_err_o(    i_s15_err_i     ),
4772
                .i_wb_rty_o(    i_s15_rty_i     ),
4773
 
4774
                .e_wb_data_i(   s15_data_i      ),
4775
                .e_wb_data_o(   s15_data_o      ),
4776
                .e_wb_addr_o(   s15_addr_o      ),
4777
                .e_wb_sel_o(    s15_sel_o       ),
4778
                .e_wb_we_o(     s15_we_o        ),
4779
                .e_wb_cyc_o(    s15_cyc_o       ),
4780
                .e_wb_stb_o(    s15_stb_o       ),
4781
                .e_wb_ack_i(    s15_ack_i       ),
4782
                .e_wb_err_i(    s15_err_i       ),
4783
                .e_wb_rty_i(    s15_rty_i       ),
4784
 
4785
                .conf0(         conf0           ),
4786
                .conf1(         conf1           ),
4787
                .conf2(         conf2           ),
4788
                .conf3(         conf3           ),
4789
                .conf4(         conf4           ),
4790
                .conf5(         conf5           ),
4791
                .conf6(         conf6           ),
4792
                .conf7(         conf7           ),
4793
                .conf8(         conf8           ),
4794
                .conf9(         conf9           ),
4795
                .conf10(        conf10          ),
4796
                .conf11(        conf11          ),
4797
                .conf12(        conf12          ),
4798
                .conf13(        conf13          ),
4799
                .conf14(        conf14          ),
4800
                .conf15(        conf15          )
4801
                );
4802
endmodule
4803
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.