OpenCores
URL https://opencores.org/ocsvn/wdsp/wdsp/trunk

Subversion Repositories wdsp

[/] [wdsp/] [trunk/] [rtl/] [verilog/] [minsoc/] [wb_conmax/] [trunk/] [syn/] [bin/] [read.dc] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 parrado
###############################################################################
2
#
3
# Pre Synthesis Script
4
#
5
# This script only reads in the design and saves it in a DB file
6
#
7
# Author: Rudolf Usselmann
8
#         rudi@asics.ws
9
#
10
# Revision:
11
# 3/7/01 RU Initial Sript
12
#
13
#
14
###############################################################################
15
 
16
# ==============================================
17
# Setup Design Parameters
18
source ../bin/design_spec.dc
19
 
20
# ==============================================
21
# Setup Libraries
22
source ../bin/lib_spec.dc
23
 
24
# ==============================================
25
# Setup IO Files
26
 
27
append log_file         ../log/$active_design "_pre.log"
28
append pre_comp_db_file ../out/$design_name "_pre.db"
29
 
30
sh rm -f $log_file
31
 
32
# ==============================================
33
# Setup Misc Variables
34
 
35
set hdlin_enable_vpp true       ;# Important - this enables 'ifdefs
36
 
37
# ==============================================
38
# Read Design
39
 
40
echo "+++++++++ Analyzing all design files ..."         >> $log_file
41
 
42
foreach module $design_files {
43
        echo "+++++++++ Reading: $module"               >> $log_file
44
        echo +++++++++ Reading: $module
45
        set module_file_name ""
46
        append module_file_name $module ".v"
47
        analyze -f verilog $module_file_name            >> $log_file
48
        elaborate $module                               >> $log_file
49
   }
50
 
51
current_design $active_design
52
 
53
echo "+++++++++ Linking Design ..."                     >> $log_file
54
link >> $log_file
55
 
56
echo "+++++++++ Uniquifying Design ..."                 >> $log_file
57
uniquify >> $log_file
58
 
59
echo "+++++++++ Checking Design ..."                    >> $log_file
60
check_design >> $log_file
61
 
62
# ==============================================
63
# Save Design
64
echo "+++++++++ Saving Design ..."                      >> $log_file
65
write_file -hierarchy -format db -output $pre_comp_db_file
66
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.