OpenCores
URL https://opencores.org/ocsvn/wdsp/wdsp/trunk

Subversion Repositories wdsp

[/] [wdsp/] [trunk/] [rtl/] [vhdl/] [WISHBONE_FFT/] [fft_pkg.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 parrado
 
2
library IEEE;
3
USE ieee.std_logic_1164.ALL;
4
USE ieee.std_logic_arith.ALL;
5
 
6
package fft_pkg is
7
  type ioarray is array (integer range <>) of               std_logic_vector(255 downto 0);
8
  function log2(A: integer) return integer;
9
end;
10
 
11
package body fft_pkg is
12
    function log2(A: integer) return integer is
13
    begin
14
       for I in 1 to 30 loop  -- Works for up to 32 bit integers 
15
          if            (2**I > A) then return(I-1);
16
          end if;
17
      end loop;
18
    return(30);
19
     end;
20
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.